Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

50results about How to "Reduce the concentration of metal ions" patented technology

Mask solution and mask containing same

The invention discloses a mask solution. The mask solution contains a moisturizer, wherein the moisturizer is prepared from sodium hyaluronate, trehalose, sodium polyglutamate, betaine, tremella polysaccharide and glyceryl polymethacrylate. The mask solution disclosed by the invention is capable of durably and deeply moisturizing the skin, is good in mildness, is also suitable for skin sensitive persons, is natural and non-toxic, is mild and non-irritant, cannot damage the skin after long-term use, has an obvious effect in improving the skin, and is beneficial for enabling the skin to restore elasticity and be glossy.
Owner:曾万祥

Integrated electrolytic-electrodialytic apparatus and process for recovering metals from metal ion-containing waste streams

An apparatus and method for the electrolytic and electrodialytic removal of metal ions from wastewater in a single cell. A battery of cells, each of which consists of a cathode, anode, anion exchange membrane and cation exchange membrane, is used. The battery of cells may be used in both batch and continuous processes, and is capable of reducing metal ion concentration from thousand ppm to few ppm. It can be used to concentrate dilute acids as a by-product.
Owner:KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS

Electroplating method

An electroplating method can securely and efficiently fill a plated metal into deep high-aspect ratio vias in a bottom-up manner without producing defects in the plated metal. The electroplating method includes: immersing a substrate, having vias formed in a surface, and an anode in a plating solution in a plating tank, the anode being disposed opposite the surface of the substrate; and intermittently passing a plating current at a constant current value between the substrate and the anode in such a manner that the supply and the stop of the plating current are repeated, and that the proportion of a current supply time during which the plating current is supplied increases with the progress of plating, thereby filling a plated metal into the vias.
Owner:EBARA CORP

Process for treating oil by alkali washing

A process for alkali washing of oil to remove acid, salt and metal ions features that the raw oil is washed by the alcohol solution of sodium hydroxide in a mixer which is divided into upper and lower layers by the dispersing medium. The alcohol solution of sodium hydroxide in upper layer is dispersed into microdrops by said dispersing medium and then reacts on the raw oil in lower layer. After separation, the pure oil is obtained.
Owner:TSINGHUA UNIV

Method for recycling hydrogenation catalyst waste

The invention discloses a method for recycling hydrogenation catalyst waste. The method comprises the following steps: (1) alumina support waste and / or the hydrogenation catalyst waste are / is smashedand sieved, powder obtained after sieving is pulped, slurry with solid content being 15wt%-55wt% is obtained, a nitric acid-phosphoric acid-organic acid mixed solution is added with stirring, the obtained solution is heated to 65-100 DEG C, kept at the temperature for 30-90 min and cooled to room temperature, then organic amine is added, the solution is mixed uniformly, and sol slurry of the wasteis obtained; (2) the sol slurry of the waste in step (1), alumina powder and an extrusion aid are mixed uniformly, then mixed kneading, forming, drying and roasting are performed, and an alumina support is obtained; (3) an active component is supported on the alumina support, and a hydrogenation catalyst is obtained. With adoption of the method, the hydrogenation catalyst waste can be recycled effectively, wastewater and waste residues are not produced, pollution to the environment is avoided, and the catalyst prepared with the method has higher catalytic activity.
Owner:CHINA PETROLEUM & CHEM CORP +1

System for use in producing a metal ion suspension and process of using same

Provided herein is a system for producing suspensions comprising soluble metal ions. The system comprises a basket to hold a metal load comprising a permeable floor so as to allow a solution to come into contact with the metal load. The system further comprises a vessel within which the solution and the basket may be maintained while metal ions are leached from the metal load into the solution. Some embodiments of the present disclosure pertain to a system used to produce a suspension comprising copper ions. Additionally, provided herein are methods of using the system to produce suspensions comprising soluble metal ions. Some embodiments of the present disclosure pertain to methods of making suspensions comprising copper ions. The resultant suspensions comprising metal ions may be further modified to supply a pharmaceutically acceptable treatment.
Owner:CDA RES GROUP

High-quality efficiency multielement organic fertilizer

An efficient multi-element organic fertilizer is prepared from the dregs of Chinese-medicinal materials as basic raw material, residuum of animal and plant, and life garbage through mixing and fermenting. Its advantages are high quality, durable action, high resistance to bacteria, pests and viruses, and no poison, odor and harm.
Owner:温廷林

Application of low-silicon micro-pore NaA type and NaX type molecular sieves in treatment of low-concentration heavy metal ions

InactiveCN102923807ASolve the problem that the concentration does not meet the standardReduce concentrationWater/sewage treatment by sorptionMolecular sievePhysical chemistry
The invention belongs to the technical field of sewage treatment, and in particular relates to application of low-silicon micro-pore NaA type and NaX type molecular sieves in treatment of low-concentration heavy metal ions. The invention solves the problem that the concentration of the low-concentration heavy metal ions is not up to standard in primary treatment of sewage through selecting proper conditions to carry out exchange separation under the trace concentration; the concentration of the low-concentration heavy metal ions in the sewage, which is subjected to secondary treatment through the technology, is far lower than the industrial standard; and the application has the advantages of easiness in operation of exchange steps and conditions, and low cost, and is very suitable for a sewage treatment process in industry. Through regeneration, after the molecular sieves are exchanged, most of the absorbed heavy metal ions are replaced to sodium ions, and the regenerated molecular sieves are recycled. The NaX and the NaY related by the invention are obtained by hydro-thermal synthesis, and a ratio of silicon to aluminum is 1: 1-1: 3.
Owner:KEY LAB OF INORGANIC SYNTHESIS & PEPARATIVE CHEM AT JILIN UNIV IN ZHUHAI

Process for producing cathode copper by low-grade anode plates

The invention relates to a process for producing cathode copper by low-grade anode plates. Low-grade high-nickel tin black copper is used as raw materials for direct electrolysis refining to prepare qualified cathode copper; through such modes as adjustment of process conditions, selection of reasonable current density, flow and temperature, adjustment of the additive ratio and adding of modifiedpolyacrylamide, the obtained cathode copper is higher in grade, smooth in surface, clear and compact in grain, uniform in thickness and beautiful; meanwhile, the purification and replacement period ofelectrolyte is prolonged, so that the production cost is greatly reduced when the recovery rate is increased; anode mud is treated by adopting ethyl acetate and phenolic resins, so that the problem of difficult gold and silver separation of the anode mud due to adding of polyacrylamide is solved, and the daily treatment quantity of the anode mud is increased; and copper sulfate crystal seeds areintroduced to accelerate separation of copper sulfate crystals, so that the recycling rate of metal copper and nickel is improved.
Owner:江西新金叶实业有限公司

Chemical additives for the suppression of catalyst degradation in fuel cells

The present invention is drawn to chemical additives for use in extending the lifespan and efficiency of fuels cells such as proton exchange membrane fuel cells (PEMFC). In particular, additives can be added to the electrolyte solution of a fuel cell sufficient to reduce the concentration of and / or inhibit formation of precious metal ions in solution, e.g. platinum ions.
Owner:UNIV OF UTAH RES FOUND

Bearing steel pickling, phosphating and saponifying method

The invention belongs to the technical field of metallurgy, and particularly relates to a bearing steel pickling, phosphating and saponifying method. The bearing steel pickling, phosphating and saponifying method comprises the steps of pickling, washing, lime treatment, spheroidizing annealing, pickling, rinsing, secondary washing, oxalic acid treatment, phosphating, rinsing, saponifying and drying. Before annealing, a lime pickling process is added, so that oxide scales on the surface of a wire can be washed away, and a quick drying function is achieved; and during spheroidizing annealing, RXgas is added as a protective atmosphere for carburization, so that a stable and reliable furnace atmosphere can be formed, and zero decarburization of a bearing steel wire in a furnace can be achieved. According to bearing steel treated through the pickling, phosphating and saponifying method, decarburization can be controlled to be within 1D%, the pearlite spheroidized structure is at the level2-3, and the bearing steel is suitable for high-speed cold heading machining.
Owner:ZENITH STEEL GROUP CORP +1

Peanut fertilizer

InactiveCN104262058ABalanced and stable nutrientsAdsorb heavy metalsAlkali orthophosphate fertiliserAmmonium orthophosphate fertilisersDiammonium phosphateHumic acid
The invention relates to peanut fertilizer which is composed of the following components in parts by weight: 450-550 parts of urea, 100-120 parts of diammonium phosphate, 70-100 parts of potassium sulfate, 50-60 parts of ferrous sulfate, 60-72 parts of zinc sulfate, 60-70 parts of humic acid, 80-92 parts of nitro humate, 10-12 parts of EDTA-Zn, 10-12 parts of EDTA-Fe and 10-12 parts of EDTA-B. Compared with the prior art, the peanut fertilizer disclosed by the invention is reasonable in nutrient proportion according to a nutrient demand of peanut, and can improve a fertilizer utilization rate, improve soil structure and reduce agricultural cost.
Owner:广西博白县琼达农业科技有限公司

Water treatment method for implementing drinking water deep purification using immobilization biological ceramic particle technology

The present invention relates to a water treatment method for implementing drinking water deep purification by utilizing immobilization biological ceramsite technology. Said water treatment method includes the following steps: (1), making the water to be treated undergo the processes of precipitation and filtration, then be fed into immobilization biological ceramsite tank; (2), making the water flowed out from said immobilization biological ceramsite tank undergo the process of ozonization treatment, then be flowed into secondary immobilization biological ceramsite tank; and (3), making the water flowed out from secondary immobilization biological ceramsite tank undergo the processes of microfiltration, secondary ozonization treatment and UV-ray sterilization so as to obtain the deep-purified drinking water.
Owner:江苏哈宜环保研究院有限公司

Process for reducing fluorine ion concentration in wastewater without using medicament

The invention relates to the field of wastewater treatment. The invention discloses a process for reducing fluorine ion concentration in wastewater without using a medicament. The method comprises thefollowing steps: mixing the fluorine-containing wastewater generated in the solar cell production process with the reverse osmosis concentrated water generated in the solar cell production process, adding the fluorine-containing wastewater or the reverse osmosis concentrated water again to adjust pH to 7, and finally, carrying out standing overflow to obtain water of which the fluorine ion concentration content is less than 100 mg / L. Reverse osmosis concentrated water generated in the solar cell production process is mixed with fluorine-containing wastewater generated in the solar cell production process, so that the concentration of fluorine ions in the wastewater is reduced; meanwhile, the concentration of metal ions such as calcium and magnesium in the wastewater is also reduced, and the wastewater can be recycled.
Owner:SHANXI LUAN PHOTOVOLTAICS TECH

Preparation method of homogeneous-phase aluminum-doped small-particle-size cobaltosic oxide

ActiveCN112357970AReduced activityEffectively control the degree of bindingCell electrodesSecondary cellsCobalt(II,III) oxidePhysical chemistry
The invention relates to the technical field of preparation of lithium ion battery precursor cobaltosic oxide, in particular to a preparation method of homogeneous-phase aluminum-doped small-particle-size cobaltosic oxide. According to the method, a composite complexing agent is adopted to balance the reaction rate of Co <2+> and Al <3+> in the system, so that Co <2+> and Al <3+> can be subjectedto homogeneous coprecipitation, and the purpose of uniformly doping aluminum is achieved, by adopting the composite complexing agent, the combination degree of the complexing agent and metal ions canbe effectively controlled, so that the concentration of the metal ions in the tail liquid is reduced. The particle size of the aluminum-doped cobaltosic oxide prepared by the method is 2.0-6.0 [mu]m,the morphology of the aluminum-doped cobaltosic oxide is spherical, the tap density of the aluminum-doped cobaltosic oxide is 2.6-3.0 g / cm <3>, and the mass percent of Al is 0.1-1.2%.
Owner:취저우화여우코발트뉴머터리얼컴퍼니리미티드 +1

Resin substrate having metal film pattern formed thereon

The purpose of the present invention is to provide a method for using a metal ion solution of low concentration to efficiently form a metal film pattern of excellent accuracy and reliable adhesion on a resin substrate. A resin substrate having a metal film pattern formed thereon is produced by a method that includes the following steps (a) to (e): (a) a step for pattern-printing of a latent image agent (2) onto the surface of a resin substrate (1) ; (b) a step for bringing the area imprinted with the latent image agent (2) into contact with a solution containing metal ions, and forming a metal salt (3); (c) a step for bringing the metal salt (3) into contact with an acidic treatment liquid containing a reducing agent, and reducing the metal salt; (d) a step for forming an electroless nickel plating film (5) on the area imprinted with the latent image agent; and (e) a step for precipitating an electroless copper plating (6) onto the surface of the nickel plating film (5).
Owner:SEIREN CO LTD

Method and system for recovering calcium and aluminum from aluminum industry sludge

The invention provides a method and a system for recovering calcium and aluminum from aluminum industry sludge. The method for recovering calcium and aluminum from aluminum industry sludge comprises the following steps: 1) dissolving the aluminum industry sludge by using soluble alkali solution having a concentration of 2-3 mol / L to obtain a dissolution solution containing calcium and aluminum; 2)carrying out solid-liquid separation on the dissolution solution to obtain a filtrate containing calcium and aluminum; 3) carrying out electrodialysis on the filtrate by using a cation exchange membrane and an anion exchange membrane to respectively obtain a first mixed solution containing calcium and a second mixed solution containing aluminum; 4) recovering calcium from the first mixed solutionto obtain a calcium-containing product; and 5) adding a strong acid solution to the second mixed solution to make the pH value be 8.0-8.2, and subsequently carrying out solid-liquid separation, washing and drying to obtain an Al(OH)3 product. The method and the system have the advantages of high aluminum recovery rate, high purity of the recovered products, and significant economic, environmentaland social benefits when used to treat the aluminum industry sludge.
Owner:KEDA INDUSTRIAL GROUP CO LTD

Electronic-grade pyrazole aqueous solution and preparation method thereof

The invention relates to an electronic-grade pyrazole aqueous solution and a preparation method thereof. The content of metal ions such as Na<+>, Mg<2+>, K<+>, Ca<2+>, Cu<2+>, Zn<2+>, Ni<2+>, Cr<3+>, Al<3+> and Fe<3+> in the pyrazole aqueous solution is lower than 50 ppb, and the pyrazole content is larger than or equal to 10%. According to the method, the pyrazole aqueous solution is purified through a combined process of ionic membrane electrolysis and ion exchange resin, wherein the ion exchange resin is added into an ionic membrane electrolytic cell to enhance the conductivity of the solution and assist in removing metal ions. One part of metal ions in a pyrazole stock solution in an anode chamber are migrated to a cathode chamber from the anode chamber under the action of electric field force, the other part of ions are adsorbed by ion resin in the electrolytic cell, and finally the electronic-grade pyrazole aqueous solution is obtained in the anode chamber. The electronic-grade pyrazole aqueous solution can be used as a solvent of a chemical cleaning solution for microelectronic industries such as super-large-scale integrated circuits or screen displays.
Owner:EAST CHINA UNIV OF SCI & TECH

Method for preparing electronic grade hydrazine hydrate by purifying hydrazine hydrate

The invention discloses a method for preparing electronic grade hydrazine hydrate by purifying hydrazine hydrate, relating to the technical field of chemical purification. The method comprises the following steps: enabling a commodity hydrazine hydrate to pass through chelate resin firstly with a system controlled to be 40-60 DEG C and then pass through a filter with a pore size of 0.05 mu m to obtain qualified electronic grade hydrazine hydrate; the chelate resin is formed by taking a crosslinked polymer as a skeleton and connecting with a special functional group with a -CH2N(CH2COOH)2 structure. The electronic grade hydrazine hydrate product prepared by the invention is extremely low in metal ion content, has appropriate particle sizes, does not have a color, has very good quality, andthus is fully applicable to the field of integrated circuits.
Owner:YIBIN HAIFENG HERUI

Photoplating of metal electrodes for solar cells

A method of photoplating a metal contact onto a surface of a cathode of a photovoltaic device is provided using light induced plating technique. The method comprises: a) immersing the photovoltaic device in a solution of metal ions, where the metal ions are a species which is to be plated onto the surface of the cathode of the photovoltaic device; and b) illuminating the photovoltaic device, using a light source of time varying intensity. This results in nett plating which is faster in a direction normal to the surface of the cathode than in a direction in a plane of the surface of the cathode.
Owner:NEWSOUTH INNOVATIONS PTY LTD

Cleaning method for improving wafer surface polluted by metal ions

A cleaning method for improving a wafer surface polluted by metal ions is disclosed. This method is to install an ion change filter in a pipeline, in which deionized water runs, to reduce the number of metal ions to be less than 0.1 ppb, so as to avoid that the metal ions of deionized water remains on the surface of the wafer during the process in cleaning the wafer and diffuses in the thermal oxidation process afterwards to affect the quality of oxide film.
Owner:GRACE SEMICON MFG CORP
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products