Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

41results about How to "Rapid thermal annealing" patented technology

Image sensors including multiple slope/impurity layer isolation regions, and methods of fabricating same

Image sensors include a pixel region and a logic region. Pixel isolation regions in the pixel region include pixel isolation region walls that are less sloped than logic isolation region walls in the logic region. An impurity layer also may be provided adjacent at least some of the pixel isolation region walls, wherein at least some of the logic isolation region walls are free of the impurity layer. The impurity layer and / or the less sloped logic isolation region walls may also be provided for NMOS devices in the logic region but not for PMOS devices in the logic region. Doped sacrificial layers may be used to fabricate the impurity layer.
Owner:SAMSUNG ELECTRONICS CO LTD

Integration of rare-earth doped amplifiers into semiconductor structures and uses of same

An integrated device is disclosed which has a substrate and a Rare-Earth Doped Semiconductor layer (REDS layer) integrated with the substrate. The REDS layer is patterned to define one or more optically amplifying structures each having a first I / O port for receiving or outputting a first optical signal, and at least one pump energy receiving port for receiving pumping energy in the form of at least one of electrical pump energy and / or optical pump energy. In one particular set of embodiments, at least one of the optical amplifying structures is a Raman type amplifier where a corresponding pump energy receiving port is structured for receiving Raman type pumping energy having an effective frequency which is about one optical phonon frequency higher than a signal frequency of an optical signal supplied at a corresponding I / O port. Methods are disclosed for fabricating Rare-Earth Doped Semiconductor layers, including providing such layers in semiconductor-on-insulator (SOI) structures and for enhancing the effective, long-term concentrations of incorporated, rare earth atoms. Additionally, non-parallel pumping techniques are disclosed.
Owner:TANG YIN S

Method for fabricating trench isolations with high aspect ratio

A method of fabricating a trench isolation with high aspect ratio. The method comprises the steps of: providing a substrate with a trench; depositing a first isolation layer filling the trench by low pressure chemical vapor deposition; etching the first isolation layer so that its surface is lowered to the opening of the trench; depositing a second isolation layer to fill the trench without voids by high density plasma chemical vapor deposition and achieving global planarization by chemical-mechanical polishing then providing a rapidly annealing procedure. Accordingly, the present invention achieves void-free trench isolation with high aspect ratio.
Owner:NAN YA TECH

Method for implantation of high dopant concentrations in wide band gap materials

A method that combines alternate low / medium ion dose implantation with rapid thermal annealing at relatively low temperatures. At least one dopant is implanted in one of a single crystal and an epitaxial film of the wide band gap compound by a plurality of implantation cycles. The number of implantation cycles is sufficient to implant a predetermined concentration of the dopant in one of the single crystal and the epitaxial film. Each of the implantation cycles includes the steps of: implanting a portion of the predetermined concentration of the one dopant in one of the single crystal and the epitaxial film; annealing one of the single crystal and the epitaxial film and implanted portion at a predetermined temperature for a predetermined time to repair damage to one of the single crystal and the epitaxial film caused by implantation and activates the implanted dopant; and cooling the annealed single crystal and implanted portion to a temperature of less than about 100° C. This combination produces high concentrations of dopants, while minimizing the defect concentration.
Owner:LOS ALAMOS NATIONAL SECURITY

Method for tuning the work function of a metal gate of the pmos device

The present application discloses a method for tuning the work function of a metal gate of the PMOS device, comprising the steps of depositing a layer of metal nitride or a metal on a layer of high-k gate dielectric by physical vapor deposition (PVD), as a metal gate; doping the metal gate with dopants such as Al, Pt, Ru, Ga, Ir by ion implantation; and driving the doped metal ions to the interface between the high-k gate dielectric and interfacial SiO2 by high-temperature annealing so that the doped metal ions accumulate at the interface or generate dipoles by interfacial reaction, which in turn tunes the work function of the metal gate. The inventive method can be widely used and its process is simple and convenient, has a better ability of tuning the work function of the metal gate, and is compatible with the conventional CMOS process.
Owner:INST OF MICROELECTRONICS CHINESE ACAD OF SCI

Method of reducing the surface roughness of a semiconductor wafer

The invention provides a method for reducing the roughness of a free surface of a semiconductor wafer that includes removing material from the free surface of the wafer to provide a treated wafer, and performing a first rapid thermal annealing on the treated wafer in a pure argon atmosphere to substantially reduce the roughness of the free surface of the treated wafer. The material removal is selected and conducted to improve the effectiveness of the subsequent rapid thermal annealing in reducing the roughness of the free surface of the treated wafer.
Owner:S O I TEC SILICON ON INSULATOR THECHNOLOGIES

Bipolar complementary semiconductor device

A complementary BiCMOS semiconductor device comprises a substrate of a first conductivity type and a number of active regions which are provided therein and which are delimited in the lateral direction by shallow field insulation regions, in which vertical npn-bipolar transistors with an epitaxial base are arranged in a first subnumber of the active regions and vertical pnp-bipolar transistors with an epitaxial base are arranged in a second subnumber of the active regions, wherein either one transistor type or both transistor types have both a collector region and also a collector contact region in one and the same respective active region. To improve the high-frequency properties exclusively in a first transistor type in which the conductivity type of the substrate is identical to that of the collector region, an insulation doping region is provided between the collector region and the substrate.
Owner:IHP GMBH INNOVATIONS FOR HIGH PERFORMANCE MICROELECTRONICS LEIBNIZ INST FUR INNOVATIVE

Diffusion barrier enhancement for sub-micron aluminum-silicon contacts

InactiveUS6225222B1Enhance layeringEliminate or reduce aluminum-silicon interdiffusionSemiconductor/solid-state device manufacturingTitanium nitrideOxygen
Methods for enhancing the effectiveness of barrier layers, needed to prevent interaction between overlying aluminum interconnect metallizations, and underlying silicon device regions, has been developed. One method consists of using dual layers of titanium nitride, on titanium disilicide. The first titanium nitride layer is obtained via rapid thermal annealing of an underlying titanium layer, in a nitrogen containing ambient, also resulting in the formation of the underlying titanium disilicide layer. The second titanium nitride layer is deposited using reactive sputtering. A second method, used to create an enhanced barrier layer, is to reactively sputter titanium nitride, directly on an underlying titanium layer. Rapid thermal annealing, in an ammonia and oxygen ambient, results in an oxygen containing titanium nitride barrier layer. The rapid thermal anneal cycle also converts the underlying titanium layer, to the desired titanium disilicide layer. The barriers produced by these methods have demonstrated barrier effectiveness, in terms of preventing aluminum penetration, when compared to counterparts, fabricated without the use of the processes, described in this invention.
Owner:UNITED MICROELECTRONICS CORP

Method of reducing the surface roughness of a semiconductor wafer

A method for reducing the roughness of a free surface of a semiconductor wafer that includes establishing a first atmosphere in an annealing chamber, replacing the first atmosphere with a second atmosphere that includes a gas selected to and in an amount to substantially eliminate or reduce pollutants on a wafer, and exposing the free surface of the wafer to the second atmosphere to substantially eliminate or reduce pollutants thereon. The second atmosphere is then replaced with a third atmosphere that includes pure, and rapid thermal annealing is performed on the wafer exposed to the third atmosphere in the annealing chamber to substantially reduce the roughness of the free surface of the wafer.
Owner:S O I TEC SILICON ON INSULATOR THECHNOLOGIES

Structure and manufacturing method of semiconductor device

The invention discloses a structure of semiconductor device. The structure of the semiconductor device comprises a medal mounted on a lower layer, an anti-reflection layer and a medal insulator formed in sequence on the upper surface of the medal mounted on the lower layer. Medal as a lower electrode is deposited in a through-hole of the medal insulator and a medal oxide layer is formed on the upper end of the lower electrode. A barrier layer made of medals is arranged among the lower electrode, the medal oxide layer, and the side wall of the through-hole. The structure of the semiconductor device is characterized in that a medal aluminum layer deposited on the upper end of the medal insulator and the upper end of the medal oxide layer is further included, the medal aluminum layer is an upper electrode, and medal-insulator-medal is finally formed by the lower electrode, the medal oxide layer, and the medal aluminum layer. The invention further discloses a manufacturing method of semiconductor. Initial resistance can be significantly improved, and the structure and manufacturing method of the semiconductor device has good characteristics of variable resistance.
Owner:SHANGHAI HUAHONG GRACE SEMICON MFG CORP

Method for producing high-resistance simox wafer

A method for producing a high-resistance SIMOX wafer wherein oxygen diffused inside of a wafer by the heat treatment at a high temperature in an oxidizing atmosphere can be reduced to suppress the occurrence of thermal donor. In one embodiment, a heating-rapid cooling treatment is conducted after the heat treatment at a high temperature in an oxidizing atmosphere to implant vacancies from a surface of a wafer into an interior thereof to thereby easily precipitate oxygen diffused inside the wafer during the heat treatment.
Owner:SUMCO CORP

Method for producing semi-conductor device

A method of manufacturing a semiconductor device which has a crystalline silicon film comprises the steps of forming crystal nuclei in a surface region of an amorphous silicon film and then growing the crystals from the nuclei by a laser light. Typically the crystal nuclei are silicon crystals or metal suicides having an equivalent structure as silicon crystal.
Owner:SEMICON ENERGY LAB CO LTD

Gallium oxide semiconductor Schottky diode and manufacturing method thereof

The invention discloses a gallium oxide (Ga2O3) semiconductor Schottky diode. The Ga2O3 semiconductor Schottky diode comprises a semiconductor layer, an anode electrode and a cathode electrode; the semiconductor layer is Ga2O3 film, the anode electrode is oxide of stannum (SnOx). The manufacturing method of the Schottky diode disclosed by the invention comprises the following steps: a) preparing aGa2O3 sheet; b) cleaning the sheet; c) etching the Ga2O3 sheet; d) preparing cathode and metal contact point layer; e) performing annealing treatment; f) preparing the SnOx film; and g) preparing ananode metal contact point layer. An ideal factor of the Ga2O3 semiconductor Schottky diode is 1.02 and extremely close to 1, the barrier height is 1.17eV, and the switch ratio exceeds 1010; and the acquired Schottky diode is excellent in performance. Through the manufacturing method of the Schottky diode disclosed by the invention, the SnOx is used as the Schottky contact electrode, and then the high-performance Ga2O3 Schottky diode is obtained.
Owner:SHANDONG UNIV

High speed laser crystallization of particles of photovoltaic solar cells

A system and method for enhancing the conversion efficiency of thin film photovoltaics. The thin film structure includes a photovoltaic absorbent layer covered by a confinement layer. A laser beam passes through the confinement layer and hits the photovoltaic absorbent layer. The laser can be pulsed to create localized rapid heating and cooling of the photovoltaic absorbent layer. The confinement layer confines the laser induced plasma plume creating a localized high-pressure condition for the photovoltaic absorbent layer. The laser beam can be scanned across specific regions of the thin film structure. The laser beam can be pulsed as a series of short pulses. The photovoltaic absorbent layer can be made of various materials including copper indium diselenide, gallium arsenide, and cadmium telluride. The photovoltaic absorbent layer can be sandwiched between a substrate and the confinement layer, and a molybdenum layer can be between the substrate and the photovoltaic absorbent layer.
Owner:PURDUE RES FOUND INC

Method to thin a silicon-on-insulator substrate

A method to thin an initial silicon-on-insulator substrate that has a layer of silicon oxide buried between a silicon carrier substrate and a silicon surface layer. This method is noteworthy in that it includes conducting a thermal oxidation treatment of the initial substrate to cause oxidation of part of the silicon surface layer and form a thermal oxide thereon; conducting a first cycle of etching followed by cleaning of the silicon surface layer after the thermal oxidation treatment, wherein the etching of the first cycle is conducted so as to fully remove the thermal oxide from the silicon surface layer to thin it and lift off all unstable parts of the initial substrate at edges thereof to form a thinned substrate; conducting, after the first cycle, a second cycle of etching followed by cleaning of the silicon surface layer, wherein the etching of the second cycle is conducted to remove from the surface of the thinned substrate, polluting particles formed during the first etching cycle and that have deposited thereupon, in order to obtain a final SOI substrate having a thinned surface layer that forms an active layer for the substrate.
Owner:S O I TEC SILICON ON INSULATOR THECHNOLOGIES

Method for forming shallow junction

Present silicide is prepared after insert source / drain and anneal excited to form junctions with the reliability problem mainly on electric drain which influences the final performance of transistors. This ivnention is to form silicide first, then inject in impurities and anneal to junction. The distributed peak value of inserted impurities lies under the silicide avoiding the problem brought with the diffusion of silicide in which the junction is easy to be controlled, high impurities concentration and repeatability, reducing the procedure of taking oxide as the injection mask.
Owner:SHANGHAI INTEGRATED CIRCUIT RES & DEV CENT +1
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products