Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

463 results about "Inorganic ions" patented technology

Inorganic ions in animals and plants are ions necessary for vital cellular activity. In body tissues, ions are also known as electrolytes, essential for the electrical activity needed to support muscle contractions and neuron activation. They contribute to osmotic pressure of body fluids as well as performing a number of other important functions. Below is a list of some of the most important ions for living things as well as examples of their functions...

Production of polypeptides

An improved system for large scale production of proteins and / or polypeptides in cell culture, particularly in media characterized by one or more of: i) a cumulative amino acid concentration greater than about 70 mM; ii) a molar cumulative glutamine to cumulative asparagine ratio of less than about 2; iii) a molar cumulative glutamine to cumulative total amino acid ratio of less than about 0.2; iv) a molar cumulative inorganic ion to cumulative total amino acid ratio between about 0.4 to 1; or v) a combined cumulative glutamine and cumulative asparagine concentration between about 16 and 36 mM, is provided. The use of such a system allows high levels of protein production and lessens accumulation of certain undesirable factors such as ammonium and / or lactate. Additionally, culture methods including a temperature shift, typically including a decrease in temperature when the culture has reached about 20-80% of it maximal cell density, are provided. Alternatively or additionally, the present invention provides methods such that, after reaching a peak, lactate and / or ammonium levels in the culture decrease over time.
Owner:PFIZER IRELAND PHARM CORP

Membrane separation method of zero discharge of electroplating waste water treatment

A membrane separation method for reclaiming the electroplating sewage includes liqui material treating, lifting, pressurizing and delivering. It features the 3-class membrane separation to remove impurities, organic substances, fine suspended substances, Na ions, heavy metal ions, inorganic ions, etc. the regulation of pH value to prevent deposition of hydroxide, periodical automatic flushing and reuse of treated water.
Owner:HANGZHOU WATER TREATMENT TECH RES & DEV CENT NAT BUREAU OF OCEANGRAPHY

All-solid-state film electrochromic glass and preparation method thereof

The present invention relates to an all-solid-state film electrochromic glass, which comprises a substrate, an ion blocking layer, a first transparent conductive layer, an inorganic discolored layer, an inorganic ion conductor layer, an inorganic ion storage layer, a second transparent conductive layer and a protective layer, wherein the ion blocking layer, the first transparent conductive layer, the inorganic discolored layer, the inorganic ion conductor layer, the inorganic ion storage layer, the second transparent conductive layer and the protective layer are sequentially formed on the substrate through a vapor deposition method. The present invention further provides a preparation method for the all-solid-state film electrochromic glass. The all-solid-state film electrochromic glass has advantages of electrochromic energy-saving glass stability increase and manufacturing process simplifying.
Owner:CSG HOLDING

Submerged-type electrosorption-based water purification apparatus and method thereof

Provided is a submerged-type, electrosorption-based desalination apparatus for water purification and method, comprising applying a DC voltage of 0.1 to 2.0 volts to a carbon electrode of the reactor to thereby adsorb inorganic ions on the carbon electrode, and reversely applying the same DC voltage having opposite polarity to recycle regeneration solution to the outside of the apparatus or into the treatment tank, thereby enhancing a recovery rate. In addition, in order to improve desalination efficiency, the reactor used in the desalination apparatus may be embodied in various forms of T-shaped, linear type, single, composite, and ion exchange membrane electrodes. Therefore, the present invention may be applied to remove inorganic ions from industrial wastewater, sea water, and brackish water, which contain large amounts of inorganic ions.
Owner:KOREA ELECTRIC POWER CORP

Antimicrobial activated carbon and use thereof

InactiveUS20070199890A1Excellent and long-lived antimicrobial propertyReduce surface tensionBiocideCarbon active ingredientsActivated carbonControlled release
Antimicrobial activated carbon having controlled release of the antimicrobial active agent are provided comprising activated carbon and an antimicrobial coating applied to at least a portion of its exposed outer surface wherein the antimicrobial coating comprises a binder and an antimicrobial water soluble glass or an inorganic ion exchange type antimicrobial agent. The antimicrobial coatings to be applied to the activated carbon materials are curable liquids or ultra-fine thermoplastic powder coatings. The antimicrobial activated carbon materials may be employed in filters as a loose fill or in sintered filters.
Owner:SCIESSENT LLC

Compositions and methods for adsorption and retention of solvated compounds and ions

A solid phase mixed solvent polymer, compositions and methods for removing and retaining solvated organic compounds and inorganic ions from water, wastewater, superficial and ground water, soil and other environmental sources, a soil amendment and method resulting from adhesively coating the polymer onto sand along with at least one ion exchange material, and methods for the containment, reduction, and prevention of organic leaching from soils, agricultural, industrial, and commercial environments, and in particular, sports and athletic turf facilities such as golf courses where pesticides are frequently applied.
Owner:ECO VERDE TECH

Texturing method of polycrystalline silicon wafer cut by diamond wire

The invention discloses a texturing method of a polycrystalline silicon wafer cut by a diamond wire. The method comprises the following steps: firstly, immersing the polycrystalline silicon wafer cut by the diamond wire into a mixed water solution of an alkaline solution and an alkaline reaction control agent, removing a surface damage layer of the silicon wafer and immersing the polycrystalline silicon wafer into a hydrofluoric acid solution containing inorganic ions and organic molecules for reaction; secondly, carrying out pretreatment on the polycrystalline silicon surface by a mixed solution of a hydrofluoric acid and hydrogen peroxide and simultaneously adding a pore-forming regulator; and finally texturing the silicon wafer surface by a mixed acid solution of the hydrofluoric acid and a nitric acid. The texturing method has the advantages that the prepared polycrystalline textured wafer is uniform in suede, small in color difference and consistent in etching depth, and has low surface reflectivity and relatively high conversion efficiency; the preparation method is simple and feasible; the reagent cost is relatively low; the reaction conditions are easy to implement; and the texturing method is relatively good in compatibility with an existing industrial production procedure and is suitable for popularization and application.
Owner:NANJING TECH UNIV

Method for preparing graphene oxide

The invention relates to a method for preparing graphene oxide, belonging to the technical field of preparation of graphene oxide. The method comprises the following steps: firstly, preparing graphite oxide by adopting a chemical treatment method for natural crystalline flake graphite; then, separating and purifying the graphite oxide; and finally, mixing the purified graphite oxide with water, carrying out ultrasonic dispersion to obtain stable dispersion liquid, and spraying and drying the dispersion liquid to obtain graphene oxide powder. According to the method for preparing graphene oxide, effective separation of graphite oxide solid and aqueous liquor is realized by room-temperature natural settling of graphite oxide suspension, most of inorganic ion impurities generated in a preparation process are removed with supernatant liquid, so that a washing step is simplified. Moreover, a centrifugal washing method of absolute ethyl alcohol is adopted, solid-liquid separation in a centrifugal process is very easy to implement, a problem that solid-liquid separation is difficult in a water-washing process is overcome, time is greatly saved, and efficiency is improved.
Owner:TAISHAN MEDICAL UNIV

Production of alpha-ABeta

An improved system for large scale production of proteins and / or polypeptides in cell culture, particularly in media characterized by one or more of: i) a cumulative amino acid concentration greater than about 70 mM; ii) a molar cumulative glutamine to cumulative asparagine ratio of less than about 2; iii) a molar cumulative glutamine to cumulative total amino acid ratio of less than about 0.2; iv) a molar cumulative inorganic ion to cumulative total amino acid ratio between about 0.4 to 1; or v) a combined cumulative glutamine and cumulative asparagine concentration between about 16 and 36 mM, is provided. The use of such a system allows high levels of protein production and lessens accumulation of certain undesirable factors such as ammonium and / or lactate. Additionally, culture methods including a temperature shift, typically including a decrease in temperature when the culture has reached about 20-80% of it maximal cell density, are provided. Alternatively or additionally, the present invention provides methods such that, after reaching a peak, lactate and / or ammonium levels in the culture decrease over time.
Owner:PFIZER IRELAND PHARM CORP

Method for preparing poly-organic silsesquioxane microsphere

The invention discloses a method for preparing poly-organic silsesquioxane microsphere, comprising the following steps: 1) adding mixture of one or arbitrary several kinds selected from compound in structure general formula R1Si(OR2)3 into the mixture solution of water and alcohol, uniformly stirring the mixture, wherein R1 is hydrogen, methyl or vinyl, R2 is C1-C4 alkyl; 2) adding aqueous solution of alkali metal hydroxide or ammonia water into the reaction system and obtaining products after reaction. The method uses one-step method and the reaction is performed at normal temperature withoutcatalyst containing inorganic ion. The technology is simple and the production period is short and the obtained poly-organic silsesquioxane microsphere has good shape and the particle size is in narrow distribution at micro order.
Owner:ETERNAL CHINA INVESTMENT

MAGNETIC CORE COATED INORGANIC ION ADSORBENT FOR REMOVING Cs IONS IN RADIOACTIVE WASTEWATER AND PREPARATION METHOD THEREOF

ActiveUS20150231598A1Good alkali resistanceInhibiting oxidation of the magnetic core materialOther chemical processesRadioactive contaminantsHydration reactionSorbent
The invention discloses a micron-grade magnetic core coated ferrocyanide adsorbent for removing Cs ions in radioactive wastewater and a preparation method thereof. The adsorbent takes magnetic Fe3O4 as a core, the surface is coated with a dense SiO2 single layer serving as a protective layer, and an active component is metal ion stabilized potassium ferrocyanide coated on the outer layer, wherein stabilized metal ions comprise Ti, Zn, Cu, Ni, Co, and Zr. The particle size of the adsorbent is 0.2-5 μm, the adsorbent in the outermost layer is conductive to improving the adsorption efficiency for Cs+ ions, and an external magnetic field is adopted for realizing solid-liquid phase separation. The preparation method comprises the following steps: coating a hydrated metal oxide of Ti, Zr or Co, Ni, Cu or Zn on the surface of Fe3O4SiO2 to form a composite magnetic material, wherein the hydrated oxide performs hydroxyl polymerization reaction with the surface of SiO2 to produce M—O—Si bonds to improve the bonding strength between M and the surface of SiO2; and finally reacting the composite magnetic material with a potassium ferrocyanide solution to form the required composite adsorbent, wherein the metal ions M achieve the effects of stabilizing the ferrocyanide and also achieve a bridge effect for bonding the ferrocyanide and the composite magnetic material together.
Owner:TSINGHUA UNIV

Waste water treatment device and method

An apparatus for water treatment which comprises a means of removing ingredients contained in water and a means of adsorbing ions with a porous molding as an adsorbent, wherein the porous molding comprises fibrils comprising an organic polymer resin and an inorganic ion adsorbent. The fibrils form interconnecting pores open to the outer surface and have voids in inner parts thereof, at least partof the voids being open to the surface of the fibrils. The inorganic ion adsorbent has been deposited on the outer surface of the fibrils and on the surface of the voids in the fibrils.
Owner:ASAHI KASEI KK

Apparatus and method for evaporating and concentrating liquid

The present invention provides a liquid-separation film-concentration evaporation-concentration system and a method thereof. The stock solution at a given temperature is contacted with a drainage porous film; water vapor passes through the porous film in gas-state way and is removed and condensed for collection at the other side of the film. Through the cycling of the process procedures, the concentrated substance of the stock solution can be obtained at one side of the film, and is rich in the inorganic ion and the organism in the processed water, and pure water can be obtained at the other side of the film. Therefore, the pure water can be directly recycled for use; solid substances such as the concentrated crystallized salt can be obtained at the bottom of a thermal pool. The air-lifting up-floating liquid can be precipitated and solidified with the methods such as adding of the flocculating agent, acid, alkaline and other chemical agents or with other well-known methods; solid-liquid separation is performed through the hydrophilia film; the clean liquid that is filtered by the film is returned to the evaporator so as to be continued for evaporation and concentration. For the sewage treatment, the sewage drainage quantity can be greatly reduced; for the separation of the sea water and the fermentation solution, the crystallized salt can be obtained directly.
Owner:TIANJIN POLYTECHNIC UNIV

Caesium selective absorbent and preparation method thereof

ActiveCN103831090AHigh selectivitySolve problems unsuitable for fixed bed operationOther chemical processesAlkali metal oxides/hydroxidesLiquid wasteSorbent
The invention provides a caesium selective absorbent and a preparation method of the caesium selective absorbent and belongs to the fields of material preparation and radioactive liquid waste disposal. The caesium selective absorbent is in a sphere-similar particle shape and comprises the following components in parts by weight: 50-90 parts of an inorganic ion exchanging agent and 10-50 parts of polyacrylonitrile, wherein the inorganic ion exchanging agent has high selectivity to caesium. According to the sphere-similar caesium selective absorbent, the high selectivity of the inorganic ion exchanging agent to the caesium is remained, and the caesium selective absorbent is regular in shape and can be uniformly filled in a fixed bed due to the sphere-similar shape, so that the problem that a primary inorganic ion exchanging agent is not suitable for the operation of the fixed bed is solved, and the prepared absorbent can be used for processing radioactive liquid waste generated by nuclear industries and nuclear power stations through the fixed bed. The preparation method is unique and has the advantages of simplicity in operation, mild synthesis condition and the like; a granulating device utilized in the preparation method is simple, is easy to operate and is good in repeatability.
Owner:NAVAL UNIV OF ENG PLA

Inorganic ion sorbents and methods for using the same

A process and medium for decontamination of water containing anionic species including arsenic and chromium, wherein compounds comprising divalent and trivalent metal oxides and sulfides are used to form surface complexes with contaminants under pH conditions within the range of potable water. In one embodiment natural and synthetic spinels and spinel-like materials are used as the sorbent substance.
Owner:NAT TECH & ENG SOLUTIONS OF SANDIA LLC

Automatic analyzer for anionic surfactant in water and automatic analysis method

The invention discloses an automatic analyzer for an anionic surfactant in water, which comprises an automatic sampling device, a peristaltic pump, a detector and a sample processing assembly, wherein the sample processing assembly comprises a sample filling valve, knotted reactors, a three-way valve, a four-way valve, chemically inert microporous hydrophobic film on-line extraction modules, and a capillary connecting pipe; and one port of the sample filling valve is connected with a flask for carrying current, and the other port is orderly connected with a first knotted reactor, a first chemically inert microporous hydrophobic film on-line extraction module, a second knotted reactor, a second chemically inert microporous hydrophobic film on-line extraction module, the four-way valve, a flow cell, the detector and a computer processing system. The automatic analyzer can continuously and automatically test samples, has high test speed, the frequency of detecting samples of 18 samples / hour, high test sensitivity and good test accuracy, and uses the on-line double extraction modes to have strong interference resistance; and most inorganic ions such as SCN-, CN-, NO3-, Cl-, F-, Br- and the like are not interfered when the concentration is 1,000mg / L.
Owner:BEIJING JITIAN INSTR CO LTD

Method for preparing MQ silicon resin by organic acid catalysis

InactiveCN101613475ALow content of inorganic ionsImprove aging resistanceOrganic acidInorganic ions
The invention relates to a method for preparing MQ silicon resin by organic acid catalysis, which is characterized in that four-functional-group monomer and end capping agent that have the mole ratio of 90-30:10-70 are added into a reactor provided with a mechanical stirring and reflex condensing device; then, organic acid catalyst is slowly added, and the dosage of the organic acid catalyst is 0.1-5% of the total weight; the temperature raises to 115DEG C, and the reaction lasts for 10h, so that the liquid or solid MQ silicon resin can be obtained. The method has the advantages that the catalyst contains no inorganic ions; the obtained MQ silicon resin contains lower content inorganic ions; the MQ silicon resin can be used as reinforcing agent of addition type or condensed type silicon rubber and used for encapsulating electronic devices, so as to improve the ageing-resistant performance of the silicon rubber and prolong the service life of encapsulation materials, thus prolonging the service life of the electronic devices.
Owner:NANCHANG UNIV

Polymerizable salicylic aldehyde complex containing quaternary ammonium salt and preparation method thereof

The invention provides a polymerizable salicylic aldehyde complex containing a quaternary ammonium salt in a molecular structure. The polymerizable salicylic aldehyde complex containing the quaternary ammonium salt can be used for copolymerization reaction on styrene, acrylic ester, acrylonitrile, vinyl acetate or acrylic amide to form series resin with a macromolecular side chain bonded with two sterilizing and antibacterial substances including a salicylic aldehyde complex and the quaternary ammonium salt; and the resin and inorganic ions in seawater are subjected to ion exchange reaction to sequentially release the two sterilizing and antibacterial substances including the salicylic aldehyde complex and the quaternary ammonium salt, so as to generate the effect of preventing pollution on marine organisms and the surface layer self-cleaning effect.
Owner:HUAIHAI INST OF TECH

Production of TNFR-lg

An improved system for large scale production of proteins and / or polypeptides in cell culture, particularly in media characterized by one or more of: i) a cumulative amino acid concentration greater than about 70 mM; ii) a molar cumulative glutamine to cumulative asparagine ratio of less than about 2; iii) a molar cumulative glutamine to cumulative total amino acid ratio of less than about 0.2; iv) a molar cumulative inorganic ion to cumulative total amino acid ratio between about 0.4 to 1; or v) a combined cumulative glutamine and cumulative asparagine concentration between about 16 and 36 mM, is provided. The use of such a system allows high levels of protein production and lessens accumulation of certain undesirable factors such as ammonium and / or lactate. Additionally, culture methods including a temperature shift, typically including a decrease in temperature when the culture has reached about 20-80% of it maximal cell density, are provided. Alternatively or additionally, the present invention provides methods such that, after reaching a peak, lactate and / or ammonium levels in the culture decrease over time.
Owner:PFIZER IRELAND PHARM CORP

Soda water beverage and preparation method thereof

The invention discloses a soda water beverage and a preparation method thereof. The soda water beverage comprises raw materials in parts by weight added to every 1,000 kg of water as follows: 100-300 g of sodium bicarbonate, 40-120 g of acesulfame, 15-45 g of magnesium sulfate, 1-3 g of potassium chloride and 75-225 g of lemon essence, and the PH value of the soda water beverage is 7.1-8.5. The technological process of the soda water beverage comprises following steps: (1), equipment cleaning and sterilization; (2), raw water treatment; (3), blending; (4), soda water sterilization; (5), bottle and bottle cap disinfection; (6), filling and packaging. The soda water beverage is helpful to relieve symptoms such as indigestion, constipation and the like and is helpful to uric acid excretion, thereby having prevention and treatment functions on hyperuricemia or gout; in hot summer, sodium in the soda water can supplement sodium lost through sweat volatilization, and the soda water beverage is quite popular fashion drink; primary and secondary reverse osmosis devices are adopted for an efficient desalination technology, impurities such as inorganic ions, bacteria, viruses, metal ion organic matters, colloids and the like in raw water can be removed, high-quality highly-pure water is obtained, the operating cost is saved, and environmental protection is facilitated.
Owner:LUOHE RIKANG FOODSTUFF & DRINK

Inorganic ion sorbent method

A process and medium for decontamination of water containing anionic species including arsenic and chromium, wherein compounds comprising divalent and trivalent metal oxides and sulfides are used to form surface complexes with contaminants under pH conditions within the range of potable water. In one embodiment natural and synthetic spinels and spinel-like materials are used as the sorbent substance.
Owner:NAT TECH & ENG SOLUTIONS OF SANDIA LLC

Film Pollution treating ultrasonic wave and magnetic field equipment

Ultrasonic wave with cavitation and magnetic field with scale preventing effect are used together in preventing membrane pollution. The apparatus consists of supersonic wave generator installed inside or outside the membrane module and permanent magnets set on outer wall of the membrane module. Ultrasonic wave produces vibration to prevent colloid particle, bacteria and organic matter from depositing on the membrane and its cavitation produces great amount of bubbles to clean the dirt on the membrane. Magnetic field is utilized to prevent calcium, magnesium and other inorganic ions from depositing on the membrane. Their combination produces excellent effect of preventing and eliminating the membrane pollution. The strengths of the ultrasonic wave and the magnetic field are relatively low and thus there will be no damage to the membrane.
Owner:BEIJING NORMAL UNIVERSITY

Fabricating method of NANO structure for antireflection and fabricating method of photo device integrated with antireflection NANO structure

A method of fabricating nanostructure for antireflection and a method of fabricating a photo device integrated with the nanostructure for antireflection are provided. The fabrication of the nanostructure for antireflection includes coating a solution containing a combination of metal ions with organic or inorganic ions on a substrate, sintering the coated solution using an annealing process to grow nanoscale metal particles, and chemically etching the substrate using the metal particles as mask or accelerator to form a subwavelength nanostructure on the surface of the substrate, thereby manufacturing the nanostructure for antireflection without an apparatus requiring a vacuum state using a simple method for a short amount of time to minimize reflection of light at an interface between a semiconductor material and the air, and producing a photo device having good luminous efficiency and performance at low cost in large quantities by applying it to the photo device.
Owner:GWANGJU INST OF SCI & TECH
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products