Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

897 results about "Xenon" patented technology

Xenon is a chemical element with the symbol Xe and atomic number 54. It is a colorless, dense, odorless noble gas found in Earth's atmosphere in trace amounts. Although generally unreactive, xenon can undergo a few chemical reactions such as the formation of xenon hexafluoroplatinate, the first noble gas compound to be synthesized.

Methods of Forming Integrated Circuit Devices Having Ion-Cured Electrically Insulating Layers Therein

Methods of forming integrated circuit devices include forming a trench in a surface of semiconductor substrate and filling the trench with an electrically insulating region having a seam therein. The trench may be filled by depositing a sufficiently thick electrically insulating layer on sidewalls and a bottom of the trench. Curing ions are then implanted into the electrically insulating region at a sufficient energy and dose to reduce a degree of atomic order therein. The curing ions may be ones selected from a group consisting of nitrogen (N), phosphorus (P), boron (B), arsenic (As), carbon (C), argon (Ar), germanium (Ge), helium (He), neon (Ne) and xenon (Xe). These curing ions may be implanted at an energy of at least about 80 KeV and a dose of at least about 5×1014 ions / cm2. The electrically insulating region is then annealed at a sufficient temperature and for a sufficient duration to increase a degree of atomic order within the electrically insulating region.
Owner:IBM CORP +2

Increasing carrier mobility in NFET and PFET transistors on a common wafer

Enhanced carrier mobility in transistors of differing (e.g. complementary) conductivity types is achieved on a common chip by provision of two or more respective stressed layers, such as etch stop layers, overlying the transistors with stress being wholly or partially relieved in portions of the respective layers, preferably by implantations with heavy ions such as germanium, arsenic, xenon, indium, antimony, silicon, nitrogen oxygen or carbon in accordance with a block-out mask. The distribution and small size of individual areas of such stressed structures also prevents warping or curling of even very thin substrates.
Owner:IBM CORP

Transcutaneous bilirubin concentration measuring apparatus and a measurement data checking plate for use with the same

Luminous fluxes from a xenon tube are introduced from one end of an optical fiber to an other end thereof and emerge therefrom. These luminous fluxes are incident of a skin of a person to be measured, and those diffused in the skin are incident on one end of an optical fiber through a first incident port and on one end of an optical fiber through a second incident port. The luminous fluxes from the one end are split by a dichroic mirror. The luminous fluxes reflected by the dichroic mirror are received by a photoelectric conversion element via a blue filter, and those having transmitted through the dichroic mirror are received by a photoelectric conversion element via a green filter. A concentration of bilirubin pigmented in fat of subcutaneous tissues can be accurately measured without being influenced by a difference in the thicknesses of epidermis and derma.
Owner:MINOLTA CO LTD

Method for generating extreme ultraviolet with mather-type plasma accelerators for use in Extreme Ultraviolet Lithography

A device and method for generating extremely short-wave ultraviolet electromagnetic wave uses two intersecting plasma beams generated by two plasma accelerators. The intersection of the two plasma beams emits electromagnetic radiation and in particular radiation in the extreme ultraviolet wavelength. In the preferred orientation two axially aligned counter streaming plasmas collide to produce an intense source of electromagnetic radiation at the 13.5 nm wavelength. The Mather type plasma accelerators can utilize tin, or lithium covered electrodes. Tin, lithium or xenon can be used as the photon emitting gas source.
Owner:THE UNITED STATES AS REPRESENTED BY THE DEPARTMENT OF ENERGY

Internal circulating irradiation capsule for iodine-125 and method of producing iodine-125 using same

A present invention provides an internal circulating irradiation capsule available for the production of iodine-125 and a related production method. The irradiation capsule filled with xenon gas has a lower irradiation part, an upper irradiation part, and a neutron control member. The lower irradiation part is inserted into an irradiation hole of a reactor core and irradiated with a large quantity of neutron directly. When neutron is radiated to the xenon gas, iodine-125 is produced from xenon gas. The upper irradiation part protrudes from the irradiation hole, and iodine-125 is transferred to the upper irradiation part by convection and solidified in the upper part. The neutron control member reduces neutron in the upper part to produce iodine-125 of high purity and radioactivity in a large quantity.
Owner:KOREA ATOMIC ENERGY RES INST

Radioactive ion

The present invention relates to a method for implantation of Xe isotopes in a matrix for production of 125I sources that do not shed radioactive atoms. 125Xe implanted at 12 kV in steel, titanium and gold does not evolve after more than 10 half-lives (380 h) and 125I from the decay of implanted 125Xe is equally stable for 2 half-lives (120 d). The matrix having radioxenon implanted is useful as a medical device, for instance as a “seed” for radiotherapeutic uses or in production of stents. Methods of treatment utilizing such devices are also encompassed by the present invention.
Owner:THE UNIV OF ALBERTA +1

Pulsed Magnetic Therapy Device

A device for treating diseases and chronic ailments of the human body by inducing powerful, short duration magnetic pulses in close proximity to a region of the body. The device comprises an electromagnetic coil or inductor energized by an electric circuit and a power source, pulsed between 1 and 25 pulses per second for therapeutic means and use as an alternative medical treatment. The device comprises an electric circuit that transmits pulsating current to an induction coil placed within a handheld stylus device for introducing a high energy, pulsed magnetic field to target locations on the body. The stylus is placed against the human body and introduces the pulsating magnetic field into and through body tissue, bone and the bloodstream. The stylus is completely noninvasive and provides a means to direct magnetic energy to a specific part of the body. The device is an advancement in the art, and one that provides increased magnetic energy and pulse frequency without the use of Xenon flash tubes that consume large quantities of power.
Owner:BRATTON CHARLES

Light emitting device

A blue-emitting phosphor is optimized by controlling mole fractions typically of Mg and Si in Sr3-eMgbSi2cO8d:Eue or by further including an optimal amount of at least one additional component such as Ba or Ca. The resulting phosphor exhibits a higher brightness and a higher color purity upon excitation by ultraviolet light emitted as a result of discharge of xenon gas. The optimized phosphor is incorporated into light emitting devices such as lamps and PDPs, and further into display devices.
Owner:HITACHI LTD

Field emission devices using ion bombarded carbon nanotubes

The present invention relates to a field emission device comprising an anode and a cathode, wherein said cathode includes carbon nanotubes which have been treated with an ion beam. The ion beam may be any ions, including gallium, hydrogen, helium, argon, carbon, oxygen, and xenon ions. The present invention also relates to a field emission cathode comprising carbon nanotubes, wherein the nanotubes have been treated with an ion beam. A method for treating the carbon nanotubes and for creating a field emission cathode is also disclosed. A field emission display device containing carbon nanotube which have been treated with an ion beam is further disclosed.
Owner:HYPERION CATALYSIS INT

Silicon nitride from aminosilane using PECVD

A process for the plasma enhanced chemical vapor deposition of silicon nitride films from nitrogen, argon, xenon, helium or ammonia and an aminosilane, preferably of the formula: (t-C4H9NH)2SiH2 that provides improved properties, particularly etch resistance and low hydrogen concentrations as well as stress control, of the resulting film for use in the semiconductor industry.
Owner:VERSUM MATERIALS US LLC

Method of forming a barrier layer of a tunneling magnetoresistive sensor

A fabrication process for a tunneling magnetoresistance (TMR) sensor is disclosed. In particular, a unique method of forming a barrier layer of the TMR sensor is utilized so that the TMR sensor exhibits good magnetic and TMR properties. In one particular example, the barrier layer is formed by depositing a metallic film in an argon gas in a DC magnetron sputtering module, depositing an oxygen-doped metallic film in mixed xenon and oxygen gases in an ion-beam sputtering module, and oxidizing these films in an oxygen gas in an oxygen treatment module. This three-step barrier layer formation process minimizes oxygen penetration into ferromagnetic (FM) sense and pinned layers of the TMR sensor and optimally controls oxygen doping into the barrier layer. As a result, the FM sense and pinned layers exhibit controlled magnetic properties, the barrier layer provides a low junction resistance-area product, and the TMR sensor exhibits a high TMR coefficient.
Owner:GLOBALFOUNDRIES INC

Technique for Improving the Performance and Extending the Lifetime of an Ion Source with Gas Dilution

A technique improving the performance and extending the lifetime of an ion source with gas dilution is disclosed. In one particular exemplary embodiment, the technique may be realized as a method for improving performance and extending lifetime of an ion source in an ion implanter with gas dilution. The method may comprise releasing a predetermined amount of dopant gas into an ion source chamber, and releasing a predetermined amount of dilutant gas into the ion source chamber. The dilutant gas may comprise a mixture of a xenon-containing gas and a hydrogen-containing gas for diluting the dopant gas to improve the performance and extend the lifetime of the ion source.
Owner:VARIAN SEMICON EQUIP ASSOC INC

Method for treatment and storage of platelets

ActiveUS20100009334A1Reducing or eliminating the atmosphere comprising xenonBiocideDead animal preservationXenonRefrigerated temperature
Provides are improved methods for storing platelets and compositions that contain stored platelets for use in transfusions. The method entails obtaining a platelet concentrate from blood obtained from an individual and holding the platelet concentrate in at refrigerated temperatures under an atmosphere having a pressure of from 3.5 to 5 bars comprising more than 65% xenon and for at least one week. Also provided is a refrigerated composition that contains a platelet concentrate, wherein the platelet concentrate contains xenon, and wherein the platelet concentrate has been isolated from an individual for at least seven days.
Owner:RICH TECH HLDG CO LLC

High resolution, high pressure xenon gamma ray spectroscopy using primary and stimulated light emission

A design and readout of a xenon detection medium based device, which provides improved spectroscopic performance compared to currently commercially available devices. To achieve energy resolution improvement, a method to accurately measure radial spatial position for interacting events within a HPXe cylindrical detector is described using the plan as shown in FIG. 4. This is accomplished by utilization of light generated both at the gamma interaction site and at the collection point near the anode wire of the cylindrical detector. These light flashes are detected by a VUV photon detector. Light transmission is facilitated by incorporating a vacuum ultraviolet (VUV) light transparent window at least one end of the cylindrical ionization detector. With the known electron drift velocity in HPXe, the time between these two photopulses is sufficient to accurately determine the radial coordinate. Consequently, correction by electronic means for the electrostatic pulse dispersion using the expression graphed in FIG. 2 can be achieved in order to approach the true intrinsic energy resolution limit for HPXe.
Owner:PROPORTIONAL TECH

Method and device for generating extreme ultravilolet radiation in particular for lithography

Method and device for generating light in the extreme ultraviolet, notably for lithography. According to the invention, a laser beam (24) is caused to interact with a dense fog (20) of microdroplets of a liquid. This liquid is a liquefied noble gas. In particular, liquid xenon (6) is used, the latter is produced by liquefying gaseous xenon (10) with which liquid xenon is pressurized to a pressure from 5x10<5 >Pa to 50x10<5 >Pa, and this liquid xenon is maintained at a temperature from -70° C. to -20° C., the pressurized liquid xenon is injected into a nozzle (4) the minimum internal diameter of which ranges from 60 mum to 600 mum, this nozzle opening into an area where pressure is equal to or less than 10<-1 >Pa.
Owner:COMMISSARIAT A LENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES

Preparation method and application of conductive ink based on metal nanowire and graphene oxide

The invention provides a preparation method and application of conductive ink based on metal nanowire and graphene oxide. The graphene oxide is simultaneously used as a dispersing agent, a thickening agent and a stabilizing agent. The metal nanowire, the graphene oxide, the deionized water, the alcohol solvent, the dispersing agent, the surface active agent, the flatting agent and the de-foaming agent are uniformly mixed at a certain mass ratio, so as to acquire the conductive ink product. The acquired ink can be directly written or printed on various substrate materials so as to construct a conductive circuit with high conductivity, stability, flexibility and high adhesion; the constructed conductive circuit is dried for 3-10 minutes under room temperature, so that ultrahigh conductivity is achieved; furthermore, the graphene oxide is reduced and the metal nanowire is sintered according to the methods, such as, selecting sintering temperature at 50-200 DEG C or performing chemical reduction under room temperature or utilizing an xenon flashlight to sinter, so that the conductivity of the conductive circuit is further increased.
Owner:XUZHOU LANOXENE INST CO LTD

Transistor sidewall spacer stress modulation

InactiveUS20050020022A1Alter stress effectBond can breakTransistorSemiconductor/solid-state device manufacturingGate dielectricEngineering
A semiconductor fabrication process and the resulting integrated circuit include forming a gate electrode (116) over a gate dielectric (104) over a semiconductor substrate (102). A spacer film (124) exhibiting a tensile stress characteristic is deposited over the gate electrode (116). The stress characteristics of at least a portion of the spacer film is then modulated (132, 192) and the spacer film (124) is etched to form sidewall spacers (160, 162) on the gate electrode sidewalls. The spacer film (124) is an LPCVD silicon nitride in one embodiment. Modulating (132) the spacer film (124) includes implanting Xenon or Germanium into the spacers (160) at an implant energy sufficient to break at least some of the silicon nitride bonds. The modulation implant (132) may be performed selectively or non-selectively either before or after etching the spacer film (124).
Owner:NORTH STAR INNOVATIONS

Extreme ultraviolet light source

An extreme ultraviolet light source device which makes it possible to increase a working distance and obtain extreme ultraviolet light with a high output. The extreme ultraviolet light source device generates a plasma by irradiating a target (22) with laser light from a driving laser device (25), and generates extreme ultraviolet (EUV) light with a wavelength of several nanometers to several tens of nanometers. The extreme ultraviolet light source device comprises a target supply device which has a charge applying unit (23) that applies a charge to the target (22), and an acceleration unit (24) which accelerates the charged target (22) using an electromagnetic field. The target supply device supplies the target (22) comprised of a rare gas element such as xenon (Xe) or the like, or a metal such as lithium (Li), tin (Sn), tin oxide (SnO2) or the like, as ionized molecules, atoms or masses comprising a plurality of atoms, or as ionized clusters.
Owner:GIGAPHOTON

Source-collector module with GIC mirror and xenon ice EUV LPP target system

A source-collector module (SOCOMO) for generating a laser-produced plasma (LPP) that emits EUV radiation, and a grazing-incidence collector (GIC) mirror arranged relative to the LPP and having an input end and an output end. The LPP is formed using an LPP target system having a light source portion and a target portion, wherein a pulsed laser beam from the light source portion irradiates Xenon ice provided by the target portion to an irradiation location. The GIC mirror is arranged relative to the LPP to receive the EUV radiation at its input end and focus the received EUV radiation at an intermediate focus adjacent the output end. A radiation collection enhancement device having at least one funnel element may be used to increase the amount of EUV radiation provided to the intermediate focus and / or directed to a downstream illuminator. An EUV lithography system that utilizes the SOCOMO is also disclosed.
Owner:MEDIA LARIO SRL

Maskless, microlens EUV lithography system with grazing-incidence illumination optics

An EUV lithography system achieves high-resolution printing without the use of photomasks, projection optics, multilayer mirrors, or an extremely high-power EUV source. The system comprises a xenon laser-produced-plasma (LPP) illumination source (requiring 93 W hemispherical EUV emission in the wavelength range 10-12 nm), all-ruthenium optics (grazing-incidence mirrors and microlenses) and spatial light modulators comprising MEMS-actuated microshutters. Two 300-mm wafers are simultaneously exposed with a single 10 kHz LPP source to achieve a throughput of 6 wafers per hour, per LPP source. The illumination is focused by the microlens arrays onto diffraction-limited (42-nm FWHM) spots on the wafer plane, and the spots are intensity-modulated by the microshutters as they are raster-scanned across the wafer surface to create a digitally synthesized exposure image. The optical path between the source and the microlenses traverses seven grazing-incidence mirrors (two collimator elements and five fold mirrors), which have high reflection efficiency and essentially unlimited wavelength bandpass.
Owner:JOHNSON KENNETH C

Xenon recovery system

A first aspect of a process of recovering xenon from feed gas includes: providing an adsorption vessel containing adsorbent having a Xe / N2 selectivity ratio <75; feeding into the adsorption vessel feed gas having an initial nitrogen concentration >50% and an initial xenon concentration ≧0.5%; evacuating the adsorption vessel; and purging the adsorption vessel at a purge-to-feed ratio ≧10. The final xenon concentration is ≧15× the initial xenon concentration. A second aspect of the process includes providing an adsorption vessel containing adsorbent having a Xe Henry's law Constant ≧50 mmole / g / atm; feeding into the adsorption vessel feed gas having an initial nitrogen concentration >50% and an initial xenon concentration ≧0.5%; heating and purging the adsorption vessel to recover xenon having a final concentration ≧15× its initial concentration. Apparatus for performing the process are also described.
Owner:VERSUM MATERIALS US LLC

Lifetime ground test equipment for ion thruster

The invention discloses lifetime ground test equipment for an ion thruster. By employing the equipment, special requirements of high pumping ability, small return sputtering sediment, high reliability, and high equipment integration of test equipment for lifetime tests of the ion thruster can be satisfied. The lifetime ground test equipment for the ion thruster comprises a main cabin vacuum container, a gate valve, an auxiliary cabin vacuum container, a vacuum pumping system, an ion beam target, an anti-sputtering screen, a thruster mobile mechanism, a quartz crystal microbalance QCM, a thruster divergence angle measuring system, a grid corrosion on-line monitoring system, a ground test power supply system, an equipment control system, a xenon supply system, a repressing system, a cooling water system, a pneumatic element air supply system, a liquid nitrogen storage and supply system, and a shooting illumination system. By employing the equipment, real results of the lifetime of the ion thruster can be obtained, and real and reliable test data can be provided for the evaluation of the lifetime and the reliability of the thruster.
Owner:LANZHOU INST OF PHYSICS CHINESE ACADEMY OF SPACE TECH
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products