Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

650 results about "Phase-shift mask" patented technology

Phase-shift masks are photomasks that take advantage of the interference generated by phase differences to improve image resolution in photolithography. There exist alternating and attenuated phase shift masks. A phase-shift mask relies on the fact that light passing through a transparent media will undergo a phase change as a function of its optical thickness.

Positive resist composition and patterning process

There is disclosed a resist composition that remarkably improves the resolution of photolithography using a high energy beam such as ArF excimer laser light as a light source, and exhibits excellent resistance to surface roughness and side lobe under use of a halftone phase shift mask; and a patterning process using the resist composition. The positive resist composition at least comprises (A) a resin component comprising a repeating unit represented by the following general formula (1); (B) a photoacid generator generating sulfonic acid represented by the following general formula (2) upon exposure to a high energy beam; and (C) an onium salt where a cation is sulfonium represented by the following general formula (3), or ammonium represented by the following general formula (4); and an anion is represented by any one of the following general formulae (5) to (7).
Owner:SHIN ETSU CHEM IND CO LTD

Method and system for providing a structure in a microelectronic device using a chromeless alternating phase shift mask

A method and system for providing a microelectric device, such as a magnetoresistive read sensor are described. The method and system include providing a mask layer on the microelectric device. The method and system further include exposing the mask layer to provide a mask. A portion of the mask covers a portion of the microelectric device. The step of exposing the mask layer further includes utilizing a chromeless alt-phase shift mask for providing the portion of the mask.
Owner:WESTERN DIGITAL TECH INC

Method and apparatus for the determination of mask rules using scatterometry

A method and apparatus for determining optical mask corrections for photolithography. A plurality of grating patterns is printed onto a wafer utilizing a photomask having at least one grating. Each grating pattern within the plurality of grating patterns is associated with known photolithographic settings. Each grating pattern is illuminated independently with a light source, so that light is diffracted off each grating pattern. The diffracted light is measured utilizing scatterometry techniques to determine measured diffracted values. The measured diffracted values are compared to values in a library to determine a profile match. A 2-dimensional profile description is assigned to each grating pattern based on the profile match. A database is compiled of the profile descriptions for the plurality of grating patterns. Photomask design rules are then generated by accessing the database containing the 2-dimensional profile descriptions. In preferred embodiments, the design rules are used to create and correct masks containing OPC corrections, phase-shifting mask corrections and binary masks. In a preferred embodiment the at least one grating is a bi-periodic grating. In a preferred embodiment, the scatterometry technique is optical digital profilometry utilizing a reflectometer or ellipsometer.
Owner:TOKYO ELECTRON US HOLDINGS INC

Migration of integrated circuit layout for alternating phase shift masks

Method, system and program product for migrating an integrated circuit (IC) layout for, for example, alternating aperture phase shift masks (AltPSM), are disclosed. In order to migrate a layout to phase compliance, jogs are identified on a first (AltPSM) layer and shifted to another second layer. Isolated or clustered jogs are shifted into an open channel portions on the second layer where possible. Remaining clustered jogs are shifted into as few new channels as possible on the second layer. The jog removal process leaves unidirectional wires that can be trivially phase colored. Standard technology migration techniques are then used to legalize the results on the layers.
Owner:IBM CORP

Lithographic printing with polarized light

The present invention provides systems and methods for improved lithographic printing with polarized light. In embodiments of the present invention, polarized light (radially or tangentially polarized) is used to illuminate a phase-shift mask (PSM) and produce an exposure beam. A negative photoresist layer is then exposed by light in the exposure beam. A chromeless PSM can be used. In further embodiments of the present invention, radially polarized light is used to illuminate a mask and produce an exposure beam. A positive photoresist layer is then exposed by light in the exposure beam. The mask can be an attenuating PSM or binary mask. A very high image quality is obtained even when printing contact holes at various pitches in low k applications.
Owner:ASML HLDG NV

Method and apparatus for the determination of mask rules using scatterometry

A method and apparatus for determining optical mask corrections for photolithography. A plurality of grating patterns is printed onto a wafer utilizing a photomask having at least one grating. Each grating pattern within the plurality of grating patterns is associated with known photolithographic settings. Each grating pattern is illuminated independently with a light source, so that light is diffracted off each grating pattern. The diffracted light is measured utilizing scatterometry techniques to determine measured diffracted values. The measured diffracted values are compared to values in a library to determine a profile match. A 2-dimensional profile description is assigned to each grating pattern based on the profile match. A database is compiled of the profile descriptions for the plurality of grating patterns. Photomask design rules are then generated by accessing the database containing the 2-dimensional profile descriptions. In preferred embodiments, the design rules are used to create and correct masks containing OPC corrections, phase-shifting mask corrections and binary masks. In a preferred embodiment the at least one grating is a bi-periodic grating. In a preferred embodiment, the scatterometry technique is optical digital profilometry utilizing a reflectometer or ellipsometer.
Owner:TOKYO ELECTRON US HOLDINGS INC

Contact printing as second exposure of double exposure attenuated phase shift mask process

Utilizing contact printing as the second exposure within a double exposure attenuated phase shift mask (APSM) fabrication process is disclosed. The process defines the shift pattern within the attenuated layer of the APSM using a first exposure, such as electron beam (e-beam) writing. The attenuated layer may be MoSi, MoSiO, and so on. The process then defines the border pattern within the opaque layer of the APSM using a second exposure. The second exposure employs contact printing, utilizing a contact exposure mask. The contact printing process may align the contact exposure mask over the wafer on which the APSM is fabricated utilizing a camera and an image storage system storing an image of this wafer.
Owner:TAIWAN SEMICON MFG CO LTD
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products