Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

27094results about How to "Improve throughput" patented technology

Miniaturized cell array methods and apparatus for cell-based screening

The present invention discloses devices and methods of performing high throughput screening of the physiological response of cells to biologically active compounds and methods of combining high-throughput with high-content spatial information at the cellular and subcellular level as well as temporal information about changes in physiological, biochemical and molecular activities. The present invention allows multiple types of cell interactions to be studied simultaneously by combining multicolor luminescence reading, microfluidic delivery, and environmental control of living cells in non-uniform micro-patterned arrays.
Owner:CELLOMICS

Pattern forming apparatus, mark detecting apparatus, exposure apparatus, pattern forming method, exposure method, and device manufacturing method

While a wafer stage linearly moves in a Y-axis direction, a multipoint AF system detects surface position information of the wafer surface at a plurality of detection points that are set at a predetermined distance in an X-axis direction and also a plurality of alignment systems that are arrayed in a line along the X-axis direction detect each of marks at positions different from one another on the wafer. That is, detection of surface position information of the wafer surface at a plurality of detection points and detection of the marks at positions different from one another on the wafer are finished, only by the wafer stage (wafer) linearly passing through the array of the plurality of detection points of the multipoint AF system and the plurality of alignment systems, and therefore, the throughput can be improved, compared with the case where a detection operation of the marks and a detection operation of the surface position information (focus information) are independently performed.
Owner:NIKON CORP

Nomadic translator or router

A nomadic router or translator enables a laptop computer or other portable terminal which is configured to be connected to a home network to be connected to any location on the internet or other digital data communication system. The router automatically and transparently re-configures the terminal to its new location and processes outgoing and incoming data. The router includes a processor which appears as the home network to the terminal, and appears as the terminal to the communication system. The terminal has a permanent address, the router has a router or translator address, and the terminal transmits outgoing data to the system including the permanent address as a source address. The processor translates the outgoing data by replacing the permanent address with the router address as the source address. The terminal receives incoming data from the system including the router address as a destination address, and the processor translates the incoming data by replacing the router address with the permanent address as the destination address. Alternatively, the terminal can be directly connected to a point on a local network, and the router connected to another point on the network. The router can be employed to implement numerous applications including nomadic e-mail, network file synchronizer, database synchronizer, instant network, nomadic internet and trade show router and can also be utilized as a fixed nomadic router.
Owner:NOMADIX INC

Apparatus, method and system for aggregrating computing resources

A system for executing applications designed to run on a single SMP computer on an easily scalable network of computers, while providing each application with computing resources, including processing power, memory and others that exceed the resources available on any single computer. A server agent program, a grid switch apparatus and a grid controller apparatus are included. Methods for creating processes and resources, and for accessing resources transparently across multiple servers are also provided.
Owner:COMP ASSOC THINK INC

Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method

A lithographic process is used to form a plurality of target structures (T) on a substrate (W). Each target structure comprises overlaid gratings each having a specific overlay bias. Asymmetry (A) of each grating, measured by scatterometry, includes contributions due to (i) the overlay bias, (ii) an overlay error (OV) in the lithographic process and (iii) bottom grating asymmetry within the overlaid gratings. Asymmetry measurements are obtained for three or more target structures having three or more different values of overlay bias (e.g., −d, 0, +d). Knowing the three different overlay bias values and a theoretical curve relationship between overlay error and asymmetry, overlay error (OV) can be calculated while correcting the effect of bottom grating asymmetry. Bias schemes with three and four different biases are disclosed as examples. Gratings with different directions and biases can be interleaved in a composite target structure.
Owner:ASML NETHERLANDS BV

Card for a set top terminal

An apparatus for upgrading a viewer interface for a television program delivery system (200) is described. The invention relates to methods and devices for viewer pathways to television programs and services. Specifically, the apparatus involves hardware and software used in conjunction with the interface and a television at the viewer home to create a user friendly menu based approach to accessing programs and services. The apparatus is particularly useful in a program delivery system (200) with hundreds of programs and a data signal carrying program information. The disclosure describes menu generation and menu selection of television programs.
Owner:COMCAST IP HLDG I

Seamless, maskless lithography system using spatial light modulator

The invention is a seamless projection lithography system that eliminates the need for masks through the use of a programmable Spatial Light Modulator (SLM) with high parallel processing power. Illuminating the SLM with a radiation source (1), which while preferably a pulsed laser may be a shuttered lamp or multiple lasers with alternating synchronization, provides a patterning image of many pixels via a projection system (4) onto a substrate (5). The preferred SLM is a Deformable Micromirror Device (3) for reflective pixel selection using a synchronized pulse laser. An alternative SLM is a Liquid Crystal Light Valve (LCLV) (45) for pass-through pixel selection. Electronic programming enables pixel selection control for error correction of faulty pixel elements. Pixel selection control also provides for negative and positive imaging and for complementary overlapping polygon development for seamless uniform dosage. The invention provides seamless scanning by complementary overlapping scans to equalize radiation dosage, to expose a pattern on a large area substrate (5). The invention is suitable for rapid prototyping, flexible manufacturing, and even mask making.
Owner:ANVIK CORP

Conformal nanolaminate dielectric deposition and etch back gap fill process

Conformal nanolaminate dielectric deposition and etch back processes that can fill high aspect ratio (typically at least 5:1, for example 6:1), narrow width (typically sub 0.13 micron, for example 0.1 micron or less) gaps with significantly reduced incidence of voids or weak spots involve the use of any suitable confirmal dielectric deposition technique and a dry etch back. The etch back part of the process involves a single step or an integrated multi-step (for example, two-step) procedure including an anisotropic dry etch followed by an isotropic dry etch. The all dry deposition and etch back process in a single tool increases throughput and reduces handling of wafers resulting in more efficient and higher quality nanolaminate dielectric gap fill operations.
Owner:NOVELLUS SYSTEMS

Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections

Semiconductor processing equipment that has increased efficiency, throughput, and stability, as well as reduced operating cost, footprint, and faceprint is provided. Other than during deposition, the atmosphere of both the reaction chamber and the transfer chamber are evacuated using the transfer chamber exhaust port, which is located below the surface of the semiconductor wafer. This configuration prevents particles generated during wafer transfer or during deposition from adhering to the surface of the semiconductor wafer. Additionally, by introducing a purge gas into the transfer chamber during deposition, and by using an insulation separating plate 34, the atmospheres of the transfer and reaction chambers can be effectively isolated from each other, thereby preventing deposition on the walls and components of the transfer chamber. Finally, the configuration described herein permits a wafer buffer mechanism to be used with the semiconductor processing equipment, thereby further increasing throughput and efficiency.
Owner:ASM JAPAN

Method and system for treatment of deposition reactor

A system and method for treating a deposition reactor are disclosed. The system and method remove or mitigate formation of residue in a gas-phase reactor used to deposit doped metal films, such as aluminum-doped titanium carbide films or aluminum-doped tantalum carbide films. The method includes a step of exposing a reaction chamber to a treatment reactant that mitigates formation of species that lead to residue formation.
Owner:ASM IP HLDG BV

High-temperature characterization of polymers

Rapid characterization and screening of polymer samples to determine average molecular weight, molecular weight distribution and other properties is disclosed. Rapid flow characterization systems and methods, including liquid chromatography and flow-injection analysis systems and methods are preferably employed. High throughput, automated sampling systems and methods, high-temperature characterization systems and methods, and rapid, indirect calibration compositions and methods are also disclosed. In preferred high-temperature embodiments, the polymer sample is maintained at a temperature of not less than about 75° C. during sample preparation, loading into a liquid chromatography or flow-injection analysis system, injection into a mobile phase of a liquid chromatography or flow-injection analysis system, and / or elution from chromatographic column. The described methods, systems, and device have primary applications in combinatorial polymer research and in industrial process control.
Owner:INTERMOLECULAR

Wafer holder, heater unit used for wafer prober having the wafer holder, and wafer prober having the heater unit

A wafer holder that prevents positional deviation of the wafer mounted on the wafer-mounting surface of a chuck top and enables better thermal uniformity of the wafer, as well as a heater unit including the wafer holder and a wafer prober mounting these are provided. The wafer holder has a chuck top mounting and fixing the wafer and a supporter supporting the chuck top, and the chuck top has water absorption of at least 0.01% and preferably at least 0.1%. Preferable material of the chuck top is a composite of metal and ceramics, and particularly, a composite of aluminum and silicon carbide, or a composite of silicon and silicon carbide.
Owner:SUMITOMO ELECTRIC IND LTD

Barrier first method for single damascene trench applications

Methods for forming a diffusion barrier on low aspect features of an integrated circuit include at least three operations. The first operation deposits a barrier material and simultaneously etches a portion of an underlying metal at the bottoms of recessed features of the integrated circuit. The second operation deposits barrier material to provide some minimal coverage over the bottoms of the recessed features. The third operation deposits a metal conductive layer. Controlled etching is used to selectively remove barrier material from the bottom of the recessed features, either completely or partially, thus reducing the resistance of subsequently formed metal interconnects.
Owner:NOVELLUS SYSTEMS

Plasma processing apparatus and plasma processing method

Substrates are contained in substrate containing holes which penetrate a tray in the thickness direction. A dielectric plate in a chamber is provided with a tray supporting surface which supports the lower surface of the tray and substrate placing sections which protrude upward, and has an electrostatic chuck electrode therein. The substrate supporting section which supports the substrate contained in the substrate containing holes is provided with a plurality of protruding sections formed at intervals in the circumferential direction of the substrate containing holes. The substrates are supported in point-contact mode by means of the protruding sections.
Owner:PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO LTD

Film deposition apparatus and substrate processing apparatus

A film deposition apparatus includes: a turntable; a first reaction gas supply part and a second reaction gas supply part extending from a circumferential edge toward a rotation center of the turntable; and a first separation gas supply part provided between the first and second reaction gas supply parts. A first space contains the first reaction gas supply part and has a first height. A second space contains the second reaction gas supply part and has a second height. A third space contains a first separation gas supply part and has a height lower than the first and second heights. A motor provided under the rotation center of the turntable rotates the turntable. A rotation shaft of the turntable and a drive shaft of the motor are coupled without generation of slip.
Owner:TOKYO ELECTRON LTD

Method of Depositing Silicon Oxide Film by Plasma Enhanced Atomic Layer Deposition at Low Temperature

A method of depositing a silicon oxide film on a resist pattern or etched lines formed on a substrate by plasma enhanced atomic layer deposition (PEALD) includes: providing a substrate on which a resist pattern or etched lines are formed in a PEALD reactor; controlling a temperature of a susceptor on which the substrate is placed at less than 50° C. as a deposition temperature; introducing a silicon-containing precursor and an oxygen-supplying reactant to the PEALD reactor and applying RF power therein in a cycle, while the deposition temperature is controlled substantially or nearly at a constant temperature of less than 50° C., thereby depositing a silicon oxide atomic layer on the resist pattern or etched lines; and repeating the cycle multiple times substantially or nearly at the constant temperature to deposit a silicon oxide atomic film on the resist pattern or etched lines.
Owner:ASM JAPAN

Method of forming a layer on a semiconductor substrate and apparatus for performing the same

InactiveUS20060000411A1Preventing deterioration of layerIncrease total manufacturing throughputSemiconductor/solid-state device manufacturingChemical vapor deposition coatingDevice materialThin layer
In a method of forming a thin layer for a semiconductor device through an ALD process and a CVD process in the same chamber, a semiconductor substrate is introduced into a processing chamber, and an interval between a showerhead and the substrate is adjusted to a first gap distance. A first layer is formed on the substrate at a first temperature through an ALD process. The interval between the showerhead and the substrate is additionally adjusted to a second gap distance, and a second layer is formed on the first layer at a second temperature through a CVD process. Accordingly, the thin layer has good current characteristics, and the manufacturing throughput of a semiconductor device is improved.
Owner:SAMSUNG ELECTRONICS CO LTD

Gas-phase reactor and system having exhaust plenum and components thereof

An improved exhaust system for a gas-phase reactor and a reactor and system including the exhaust system are disclosed. The exhaust system includes a channel fluidly coupled to an exhaust plenum. The improved exhaust system allows operation of a gas-phase reactor with desired flow characteristics while taking up relatively little space within a reaction chamber.
Owner:ASM IP HLDG BV

Manufacturing Apparatus and Manufacturing Method of Lighting Device

A manufacturing apparatus of a lighting device, including a vacuum chamber, an exhaust system by which the vacuum chamber is set to a reduced-pressure state, and a transfer chamber from which a substrate is transferred to the vacuum chamber is provided. The vacuum chamber of the manufacturing apparatus includes a plurality of deposition chambers in which a first electrode, a first light-emitting unit including at least a light-emitting layer, an intermediate layer, a second light-emitting unit including at least a light-emitting layer, a second electrode, a sealing film are formed, and a substrate transfer means by which the substrate is sequentially transferred to the deposition chambers.
Owner:SEMICON ENERGY LAB CO LTD

Apparatus and method for combinatorial chemistry synthesis

In a first embodiment, this invention includes an integrated robot apparatus for performing combinatorial chemistry synthesis protocols and having interchangeable work-stations, robot arm tools, and reaction vessels and reaction vessel arrays. The work-stations and tools are specialized to perform tasks necessary for the synthesis in a plurality of the reaction vessels grouped in a plurality of the reaction vessel arrays. Preferably, these elements function interchangeably because they have standardized sizes and conformation. The work-stations and tools include those for fluid dispensing or aspirating from individual reaction vessels or from all the reaction vessels in an array simultaneously. The reaction vessels can include, alternatively, stackable, ball-sealed reaction vessels, microtitre-like reaction vessel arrays, arrays of independent reaction vessels, valve-sealed reaction vessels, septum-sealed reaction vessels, and syringe reaction vessels. In alternative embodiments, this invention includes these work-stations, tools, reaction vessels and reaction vessel arrays in various combinations or sub-combinations either for use in partially integrated robots or for manual or standalone use.
Owner:LION BIOSCIENCE AG

Film deposition device and substrate processing device

A film deposition device includes a substrate transporting device arranged in a vacuum chamber to include a circulatory transport path in which substrate mounting parts arranged in a row are transported in a circulatory manner, the circulatory transport path including a linear transport path in which the substrate mounting parts are transported linearly. A first reactive gas supplying part is arranged along a transporting direction in which the substrate mounting parts are transported in the linear transport path, to supply a first reactive gas to the substrate mounting parts. A second reactive gas supplying part is arranged alternately with the first reactive gas supplying part along the transporting direction, to supply a second reactive gas to the substrate mounting parts. A separation gas supplying part is arranged to supply a separation gas to a space between the first reactive gas supplying part and the second reactive gas supplying part.
Owner:TOKYO ELECTRON LTD

Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography

Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and / or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.
Owner:UCHICAGO ARGONNE LLC
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Patsnap Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Patsnap Eureka Blog
Learn More
PatSnap group products