Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

288 results about "Gas cluster ion beam" patented technology

Gas Cluster Ion Beams (GCIB) is a technology for nano-scale modification of surfaces. It can smooth a wide variety of surface material types to within an angstrom of roughness without subsurface damage. It is also used to chemically alter surfaces through infusion or deposition.

Formation of shallow junctions by diffusion from a dielectric doped by cluster or molecular ion beams

A process for forming diffused region less than 20 nanometers deep with an average doping dose above 1014 cm−2 in an IC substrate, particularly LDD region in an MOS transistor, is disclosed. Dopants are implanted into a source dielectric layer using gas cluster ion beam (GCIB) implantation, molecular ion implantation or atomic ion implantation resulting in negligible damage in the IC substrate. A spike anneal or a laser anneal diffuses the implanted dopants into the IC substrate. The inventive process may also be applied to forming source and drain (S / D) regions. One source dielectric layer may be used for forming both NLDD and PLDD regions.
Owner:TEXAS INSTR INC

System for and method of gas cluster ion beam processing

System and method of gas-cluster ion beam processing is realized by incorporating improved beam and workpiece neutralizing components. Larger GCIB current transport is enabled by low energy electron neutralization of space charge of the GCIB. The larger currents transport greater quantities of gas in the GCIB. A vented faraday cup beam measurement system maintains beam dosimetry accuracy despite the high gas transport load.
Owner:TEL EPION

Apparatuses and methods for treating a silicon film

A method of treating a silicon film on a substrate. A silicon film is provided. The silicon film is thinned using a gas cluster ion beam (GCIB) process. The silicon film surface then is smoothed out using an etching process or an annealing process. Optionally, an encapsulation film is formed on the silicon film after the GCIB process and the etching process or the annealing process.
Owner:WALDHAUER ANN +1

Maintaining uniform CMP hard mask thickness

InactiveUS20060043590A1Uniform hard mask thicknessHigh oxide/SiCOH selectivitySemiconductor/solid-state device detailsSolid-state devicesGas cluster ion beamIon
A chemical mechanical polishing (CMP) step is used to remove excess conductive material (e.g., Cu) overlying a low-k or ultralow-k interlevel dielectric layer (ILD) layer having trenches filled with conductive material, for a damascene interconnect structure. A reactive ion etch (RIE) or a Gas Cluster Ion Beam (GCIB) process is used to remove a portion of a liner which is atop a hard mask. A wet etch step is used to remove an oxide portion of the hard mask overlying the ILD, followed by a final touch-up Cu CMP (CMP) step which chops the protruding Cu patterns off and lands on the SiCOH hard mask. In this manner, processes used to remove excess conductive material substantially do not affect the portion of the hard mask overlying the interlevel dielectric layer.
Owner:TAIWAN SEMICON MFG CO LTD
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Try Eureka
PatSnap group products