Patents
Literature
Hiro is an intelligent assistant for R&D personnel, combined with Patent DNA, to facilitate innovative research.
Hiro

291 results about "Gas cluster ion beam" patented technology

Gas Cluster Ion Beams (GCIB) is a technology for nano-scale modification of surfaces. It can smooth a wide variety of surface material types to within an angstrom of roughness without subsurface damage. It is also used to chemically alter surfaces through infusion or deposition.

Methods of adhering drugs to the surface of medical devices through ion beam surface modification

Methods of implanting, applying, or adhering various drug molecules directly into or onto the surface of medical devices through gas cluster ion beam (GCIB) and / or monomer ion beam surface modification of the medical devices before or after depositing the various drug molecules onto the medical devices.
Owner:EXOGENESIS BIOMEDICAL TECH INC +1

Method and apparatus for improved processing with a gas-cluster ion beam

Apparatus and methods for improving processing of workpieces with gas-cluster ion beams and modifying the gas-cluster ion energy distribution in the GCIB. In a reduced-pressure environment, generating an energetic gas-cluster ion beam and subjecting the beam to increased pressure region.
Owner:TEL EPION

Charging control and dosimetry system for gas cluster ion beam

A method and apparatus for gas cluster ion beam (GCIB) processing uses X-Y scanning of the workpiece relative to the GCIB. A neutralizer reduces surface charging of the workpiece by the GCIB. A single Faraday cup sensor is used to measure the GCIB current for dosimetry and scanning control and also to measure and control the degree of surface charging that may be induced in the workpiece during processing.
Owner:TEL EPION

Copper interconnect wiring and method of forming thereof

Capping layer or layers on a surface of a copper interconnect wiring layer for use in interconnect structures for integrated circuits and methods of forming improved integration interconnection structures for integrated circuits by the application of gas-cluster ion-beam processing. Reduced copper diffusion and improved electromigration lifetime result and the use of selective metal capping techniques and their attendant yield problems are avoided.
Owner:TEL EPION

Method of repairing process induced dielectric damage by the use of gcib surface treatment using gas clusters of organic molecular species

When an interconnect structure is built on porous ultra low k (ULK) material, the bottom and / or sidewall of the trench and / or via is usually damaged by a following metallization or cleaning process which may be suitable for dense higher dielectric materials. Embodiments of the present invention may provide a method of repairing process induced dielectric damage from forming an interconnect structure on an inter-layer dielectric (ILD) material. The method includes treating an exposed area of the ILD material to create a carbon-rich area, and metallizing the carbon-rich area. One embodiment includes providing treatment to an exposed sidewall area of the ILD material to create a carbon-rich area by irradiating the exposed area using a gas cluster ion beam (GCIB) generated through a gas including a straight chain or branched, aliphatic or aromatic hydrocarbon, and metallizing the carbon-rich area.
Owner:GLOBALFOUNDRIES INC

GCIB processing of integrated circuit interconnect structures

Method for removing and / or redistributing material in the trenches and / or vias of integrated circuit interconnect structures by a gas cluster ion beam (GCIB) is described to improve the fabrication process and quality of metal interconnects in an integrated circuit. The process entails opening up an undesired ‘necked in’ region at the entrance to the structure, re-depositing the barrier metal from thicker areas such as the neck or bottom of the structure to the side walls and / or removing some of the excess and undesired material on the bottom of the structure by sputtering. The GCIB process may be applied after the barrier metal deposition and before the copper seed layer / copper electroplating or the process may be applied after the formation of the copper seed layer and before electroplating. The method may extend the usability of the known interconnect deposition technologies to next generation integrated circuits and beyond.
Owner:TEL EPION

Topography minimization of neutral layer overcoats in directed self-assembly applications

A method is provided for patterning a layered substrate that includes loading a substrate into a coater-developer processing system; coating the substrate with a photoresist material layer; patterning the photoresist material layer to form a photoresist pattern; transferring the substrate to a deposition processing system; and depositing a neutral layer over the photoresist pattern and exposed portions of the substrate. The neutral layer can deposited using a gas cluster ion beam (GCIB) process, or an atomic layer deposition (ALD) process, which has minimal topography. The method may further include lifting off a portion of the neutral layer deposited over the photoresist pattern to expose a neutral layer template for subsequent directed self-assembly (DSA) patterning; depositing a DSA material layer over the neutral layer template; baking the DSA material layer to form a DSA pattern; and developing the DSA material layer to expose the final DSA pattern for subsequent feature etching.
Owner:TOKYO ELECTRON LTD

Ionizer and method for gas-cluster ion-beam formation

An ionizer for forming a gas-cluster ion beam is disclosed including inlet and outlet ends partially defining an ionization region traversed by a gas-cluster jet and one or more plasma electron source(s) for providing electrons to the ionizing region for ionizing at least a portion of the gas-clusters to form a gas-cluster ion beam. One or more sets of substantially linear rod electrodes may be disposed substantially parallel to and in one or more corresponding partial, substantially cylindrical pattern(s) about the gas-cluster jet axis, wherein some sets are arranged in substantially concentric patterns with differing radii. In certain embodiments, the ionizer includes one or more substantially linear thermionic filaments disposed substantially parallel to the gas-cluster jet axis, heating means, electrical biasing means to judiciously bias sets of the linear rod electrodes with respect to the thermionic filaments to achieve electron repulsion.
Owner:TEL EPION

Method and system for modifying the wettability characteristics of a surface of a medical device by the application of gas cluster ion beam technology and medical devices made thereby

Irradiation of a surface of a material with a gas cluster ion beam modifies the wettability of the surface. The wettability may be increased or decreased dependent on the characteristics of the gas cluster ion beam. Improvements in wettability of a surface by the invention exceed those obtained by conventional plasma cleaning or etching. The improvements may be applied to surfaces of medical devices, such as vascular stents for example, and may be used to enable better wetting of medical device surfaces with liquid drugs in preparation for adhesion of the drug to the device surfaces. A mask may be used to limit processing to a portion of the surface. Medical devices formed by using the methods of the invention are disclosed.
Owner:EXOGENESIS CORP

Formation of shallow junctions by diffusion from a dielectric doped by cluster or molecular ion beams

A process for forming diffused region less than 20 nanometers deep with an average doping dose above 1014 cm−2 in an IC substrate, particularly LDD region in an MOS transistor, is disclosed. Dopants are implanted into a source dielectric layer using gas cluster ion beam (GCIB) implantation, molecular ion implantation or atomic ion implantation resulting in negligible damage in the IC substrate. A spike anneal or a laser anneal diffuses the implanted dopants into the IC substrate. The inventive process may also be applied to forming source and drain (S / D) regions. One source dielectric layer may be used for forming both NLDD and PLDD regions.
Owner:TEXAS INSTR INC

Replacement gate field effect transistor with germanium or SiGe channel and manufacturing method for same using gas-cluster ion irradiation

A self-aligned MISFET transistor (500H) on a silicon substrate (502), but having a graded SiGe channel or a Ge channel. The channel (526) is formed using gas-cluster ion beam (524) irradiation and provides higher channel mobility than conventional silicon channel MISFETs. A manufacturing method for such a transistor is based on a replacement gate process flow augmented with a gas-cluster ion beam processing step or steps to form the SiGe or Ge channel. The channel may also be doped by gas-cluster ion beam processing either as an auxiliary step or simultaneously with formation of the increased mobility channel.
Owner:TEL EPION

Method and device for flattening surface of solid

In a method of irradiating a gas cluster ion beam on a solid surface and smoothing the solid surface, the angle formed between the solid surface and the gas cluster ion beam is chosen to be between 1° and an angle less than 30°. In case the solid surface is relatively rough, the processing efficiency is raised by first irradiating a beam at an irradiation angle θ chosen to be something like 90° as a first step, and subsequently at an irradiation angle θ chosen to be 1° to less than 30° as a second step. Alternatively, the set of the aforementioned first step and second step is repeated several times.
Owner:JAPAN AVIATION ELECTRONICS IND LTD

Techniques for providing a ribbon-shaped gas cluster ion beam

Techniques for providing a ribbon-shaped gas cluster ion beam are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for providing a ribbon-shaped gas cluster ion beam. The apparatus may comprise at least one nozzle configured to inject a source gas at a sufficient speed into a low-pressure vacuum space to form gas clusters. The apparatus may also comprise at least one ionizer that causes at least a portion of the gas clusters to be ionized. The apparatus may further comprise a beam-shaping mechanism that forms a ribbon-shaped gas cluster ion beam based on the ionized gas clusters.
Owner:VARIAN SEMICON EQUIP ASSOC INC

Method and apparatus for controlling a gas cluster ion beam formed from a gas mixture

Methods and apparatus for controlling a gas cluster ion beam formed from a plurality of process gases in a gas mixture. The methods and apparatus involve measuring gas analysis data relating to the composition of the gas mixture and modifying the irradiation of the workpiece in response to the detected parameter. The gas analysis data can be derived from samples of the composition of the gas mixture flowing from a gas source to the gas cluster ion beam apparatus or samples of the residual gases inside the vacuum vessel of the gas cluster ion beam apparatus.
Owner:TEL EPION

System for and method of gas cluster ion beam processing

System and method of gas-cluster ion beam processing is realized by incorporating improved beam and workpiece neutralizing components. Larger GCIB current transport is enabled by low energy electron neutralization of space charge of the GCIB. The larger currents transport greater quantities of gas in the GCIB. A vented faraday cup beam measurement system maintains beam dosimetry accuracy despite the high gas transport load.
Owner:TEL EPION

Apparatuses and methods for treating a silicon film

A method of treating a silicon film on a substrate. A silicon film is provided. The silicon film is thinned using a gas cluster ion beam (GCIB) process. The silicon film surface then is smoothed out using an etching process or an annealing process. Optionally, an encapsulation film is formed on the silicon film after the GCIB process and the etching process or the annealing process.
Owner:WALDHAUER ANN +1

Apparatuses and methods for treating a silicon film

A method of treating a silicon film on a substrate. A silicon film is provided. The silicon film is thinned using a gas cluster ion beam (GCIB) process. The silicon film surface then is smoothed out using an etching process or an annealing process. Optionally, an encapsulation film is formed on the silicon film after the GCIB process and the etching process or the annealing process.
Owner:APPLIED MATERIALS INC

Method for depositing films using gas cluster ion beam processing

A method for depositing material on a substrate is described. The method comprises maintaining a reduced-pressure environment around a substrate holder for holding a substrate having a surface, and holding the substrate securely within the reduced-pressure environment. Additionally, the method comprises providing to the reduced-pressure environment a gas cluster ion beam (GCIB) from a pressurized gas mixture, accelerating the GCIB, and irradiating the accelerated GCIB onto at least a portion of the surface of the substrate to form a thin film. In one embodiment, the pressurized gas mixture comprises a silicon-containing specie and at least one of a nitrogen-containing specie or a carbon-containing specie for forming a thin film containing silicon and at least one of nitrogen or carbon. In another embodiment, the gas mixture comprises a metal-containing specie for forming a thin metal-containing film. In yet another embodiment, the pressurized gas mixture comprises a fluorocarbon-containing specie for forming a thin fluorocarbon-containing film.
Owner:TEL EPION

Method and apparatus for improved processing with a gas-cluster ion beam

Apparatus and methods for improving processing of workpieces with gas-cluster ion beams and modifying the gas-cluster ion energy distribution in the GCIB. In a reduced-pressure environment, generating an energetic gas-cluster ion beam and subjecting the beam to increased pressure region.
Owner:TEL EPION

Field effect transistor with etched-back gate dielectric

A method for making an ultrathin high-k gate dielectric for use in a field effect transistor is provided. The method involves depositing a high-k gate dielectric material on a substrate and forming an ultrathin high-k dielectric by performing a thinning process on the high-k gate dielectric material. The process used to thin the high-k dielectric material can include at least one of any number of processes including wet etching, dry etching (including gas cluster ion beam (GCIB) processing), and hybrid damage / wet etching. In addition to the above, the present invention relates to an ultrathin high-k gate dielectric made for use in a field-effect transistor made by the above method.
Owner:GLOBALFOUNDRIES US INC

Multiple nozzle gas cluster ion beam processing system and method of operating

A gas cluster ion beam (GCIB) processing system using multiple nozzles for forming and emitting at least one GCIB and methods of operating thereof are described. The GCIB processing system may be configured to treat a substrate, including, but not limited to, doping, growing, depositing, etching, smoothing, amorphizing, or modifying a layer thereupon. Furthermore, the GCIB processing system may be operated to produce a first GCIB and a second GCIB, and to irradiate a substrate simultaneously and / or sequentially with the first GCIB and second GCIB.
Owner:TEL EPION

GCIB Cluster Tool Apparatus and Method of Operation

A wafer processing cluster tool and method of operation provides one or more gas cluster ion beam processing chambers in possible combination with a deposition chamber and / or a cleaning chamber for performing sequential processing steps including, GCIB processing in a reduced pressure atmosphere.
Owner:TEL EPION

Thin film transistor, production method and production apparatus therefor

A thin film transistor produced through flattening a gate insulating film acquires the high mobility of a carrier, but has a problem of occasionally showing low resistivity, low withstanding voltage, and consequent low reliability. The present invention solves the above described problem and provides a thin film transistor having the high mobility, the high resistivity, the high withstanding voltage and the high reliability. The present invention also provides a method for producing a thin film transistor having a semiconductor film formed on a gate insulating film thereon, which has the steps of: forming the gate insulating film; and flattening a surface of the gate insulating film by irradiating the surface of the gate insulating film with a gas cluster ion beam.
Owner:CANON KK

Method for depositing films using gas cluster ion beam processing

A method for depositing material on a substrate is described. The method comprises maintaining a reduced-pressure environment around a substrate holder for holding a substrate having a surface, and holding the substrate securely within the reduced-pressure environment. Additionally, the method comprises providing to the reduced-pressure environment a gas cluster ion beam (GCIB) from a pressurized gas mixture, accelerating the GCIB, and irradiating the accelerated GCIB onto at least a portion of the surface of the substrate to form a thin film. In one embodiment, the pressurized gas mixture comprises a silicon-containing specie and at least one of a nitrogen-containing specie or a carbon-containing specie for forming a thin film containing silicon and at least one of nitrogen or carbon. In another embodiment, the gas mixture comprises a metal-containing specie for forming a thin metal-containing film. In yet another embodiment, the pressurized gas mixture comprises a fluorocarbon-containing specie for forming a thin fluorocarbon-containing film.
Owner:TEL EPION

Maintaining uniform CMP hard mask thickness

InactiveUS20060043590A1Uniform hard mask thicknessHigh oxide/SiCOH selectivitySemiconductor/solid-state device detailsSolid-state devicesGas cluster ion beamIon
A chemical mechanical polishing (CMP) step is used to remove excess conductive material (e.g., Cu) overlying a low-k or ultralow-k interlevel dielectric layer (ILD) layer having trenches filled with conductive material, for a damascene interconnect structure. A reactive ion etch (RIE) or a Gas Cluster Ion Beam (GCIB) process is used to remove a portion of a liner which is atop a hard mask. A wet etch step is used to remove an oxide portion of the hard mask overlying the ILD, followed by a final touch-up Cu CMP (CMP) step which chops the protruding Cu patterns off and lands on the SiCOH hard mask. In this manner, processes used to remove excess conductive material substantially do not affect the portion of the hard mask overlying the interlevel dielectric layer.
Owner:TAIWAN SEMICON MFG CO LTD
Who we serve
  • R&D Engineer
  • R&D Manager
  • IP Professional
Why Patsnap Eureka
  • Industry Leading Data Capabilities
  • Powerful AI technology
  • Patent DNA Extraction
Social media
Patsnap Eureka Blog
Learn More
PatSnap group products